fpga

    0

    1答えて

    Digilent Nexys 3 Spartan-6ボードで動作する小さなVHDLプロジェクトがあります。 コードのエンティティの1つは、外部で受信したクロックを第2因子で除算します。外部クロック信号はそれほど良好ではありません。正方形よりも正弦波に似ていますが、それは別の問題です。 これは、分周器のVHDLコードである - それはあまりしません。 library IEEE; use IEEE.

    -1

    1答えて

    Quartusではジェネリックの問題があります。 これらは機能しますが、n = 10とし、後でn = 100に変更すると宣言しても、コンパイルとシミュレーションの結果は変更されません。あたかも汎用値がまだn = 10だったかのようです。 デザインを再コンパイルしようとしましたが、動作しませんでした。一時ファイルを削除しようとしましたが、プロジェクトフォルダ内のすべてのディレクトリを削除しましたが、

    1

    3答えて

    Verilogで推定RAMの内容を初期化できません。ラムのコードは次のとおりです。 module ram( input clock, // System clock input we, // When high RAM sets data in input lines to given address input [13:0] data_in, // Data l

    2

    1答えて

    INTENTION変換: IはZedBoardにRAMからデータを読んでいるが、私は、次のバッファ type mem_word is array (0 to 127) of std_logic_vector(31 downto 0); signal buffer_word : mem_word; を使用するように、RAMは、32ビットのロングワードからなるものの次に、中間のリニアバッファで線

    0

    1答えて

    私はVHDLを初めて使っています。私は2つのボタン(M - 増分分とS - 増分秒)を意味する私のプロジェクト(タイマー)のためのプロセスを作った。私はそれらをデバウンスする必要があります。私はよく知られているデバウンスプロセスですが、私は自分のプロジェクトでそれをどのように実装するのか分かりません。 [編集] 私のプロジェクトでは、デバウザーをどのように実装するのですか?新しいプロセスを作成する

    0

    1答えて

    私は学校のプロジェクトで働いており、チケットを販売するマシンを設計しなければなりません。多くの要件があり、私はそれらの多くを世話しましたが、私は小さな問題があります。私は、デザイナーがマシンの最初のチケット数を決定します。そして、クライアントが一度に1枚のチケットを購入した後、この数は1単位で減少するはずです。それはしません。なにが問題ですか? (私はVHDLでコードを書くことについては初心者です

    -1

    1答えて

    FPGAプログラミングのためのVerilog HDLの講義シリーズの一環として、符号付き8ビットのより大きいコンパレータを作成するためにこのコードを与えられました。私はxillinx ISEでこれをシミュレートしており、構文が正しいことを示しています。しかし、私はbegin:comparison行を理解していません。手続き型の@always(*)ブロックでbegin文とend文が必要であると理解し

    1

    1答えて

    私はしかし、私のモジュールの講師は、私はここにいくつかの助けを期待していた左の私の大学のコースの一部としてのverilogを勉強しています、 我々はバイナリコードにパラメトリックnビットのグレーのために与えられている例コンバータ、次のように: module bin_n_gray #(parameter n=4) (input [n-1 : 0] gray, output [n-1 : 0] bin

    0

    1答えて

    2s補数の浮動小数点数を乗算し、DSP内のいくつかの数学に使用します。私は結果を得てそれを元に戻したいが、私は最も簡単な方法がわからない。例えば : constant b_const : integer := integer(real(1.0/3.0)/real((2.0**-10.0))); signal b : std_logic_vector(10 downto 0); begin

    0

    1答えて

    私はfpgaボード(スパルタン3)に基づいて電卓を作ってみたいです。私はこの次のコードを持っています module bcd_converter( input [7:0] R, output reg [3:0] Hundreds, output reg [3:0] Tens, output reg [3:0] Ones ); integer i; always @ (R) begin