fpga

    0

    1答えて

    VHDLをテストするときに少し問題があります。 私は、コードを実行するためにFPGA Baysis 2を使用していますが、ハードウェア上ではうまく機能していますが、私のコードをシミュレートするためにIsimプログラムを使用すると、手紙U. 私はインターネットを見ていて解決策を見つけることができませんでした。 ここでは、私のコードの最後の部分(恐らく何かが間違っている部分)に続きます。これまでのコー

    0

    2答えて

    私は、std_logic_vectorの特定の位置にビットを設定するリソース効率的な方法を探しています。 私は、signal a := std_logic_vector(LEN-1 downto 0) := (others => '0'), のようなstd_logic_vectorを持っているとしましょう。ここでLENは一般的なものです。 定期的なインターバル、例えば、第5、第10、...の位置で

    1

    1答えて

    VHDLでベクター製品を行うにはどうすればいいですか? type array_4_8bit is array (0 to 3) of std_logic_vector(7 downto 0); signal Array_Last4Vals : array_4_8bit; signal Multiplier : array_4_8bit; Array_Last4Vals <= [5, 6, 7

    -3

    3答えて

    Verilogを初めて使用しているので、初心者の間違いをお許しください。私はVerilogで3バイトのスタックを実装しようとしています。 R_Wを使用して書き込み(プッシュ/ポップ)と2D配列を読み込み、スタックの内容を格納します。 `timescale 1ns/1ps module one(R_W,PUSH,POP); input PUSH; input R_W; output PO

    0

    1答えて

    qsysでSPIマスターコアを生成する際にいくつか問題があります。 私はきれいなデザイン(コアはありません)を開いて、SPIコアを追加して、すべての信号をエクスポートしました。 私はデザインを生成しようとすると、そのが不足しているファイルに関するエラーを与える - 私が間違って何をしspi_0 をやっていますか?

    0

    1答えて

    Verilogを初めて使用しました。私はここで少し助けが必要です。 私は符号付き整数を表すレジスタ配列reg [32-1:0] outを持っています。 小さい配列は、0と1000の間の数値を保持しますreg [14-1:0] d。私は/を使用して合成可能コードの悪い習慣であることを聞いた always @(posedge clk_i) begin out <= $signed(out)

    0

    1答えて

    Switch0の値をLEDに表示させたいですか? ここで私のエンティティの: port( switchA : in std_logic_vector(7 downto 0) ); そして、ここでは私のカスタムタイプがあります: type text_type is array (0 to 7) of std_logic_vector(63 downto 0); signal text

    0

    1答えて

    例えば、このスレッドではHow to NOT use while() loops in verilog (for synthesis)?、Brian Carltonは、Verilogでforループとwhileループを使用する代わりに、alwaysループを使用する必要があると述べています。私は、特定の条件が満たされれば、繰り返しの中から何となく脱出する方法が何であるか疑問に思っていました。 を常に(

    0

    2答えて

    Quartus2V13.0SP1 DE1board VHDL 私は大学の学生です。 教授は、「CLOCKと「イベント」を使用しないでください。 昨日私は7セグメントLEDで逆オンオフを行っています。 今日この質問は多く編集されました。 以下のコードは正しい動作です。 そして、私は「イベント」なしでCode2でやりたいと思います。 --Code1 library IEEE; use ieee.s

    1

    1答えて

    私は実際に自分のコードを作るのに3日間努力しています。私は多重化された7セグディスプレイを備えた開発ボードを持っています。問題は、変数をインクリメントしようとするときです。私は以下のコードを書いています: assign buttons = debouncedL | debouncedR; always @(posedge buttons or negedge RES) begin i