fpga

    2

    2答えて

    まあ、私はアルテラのFPGAとUSBのブラスターを持っています。私はquartusをダウンロードしましたが、FPGAを検出しません、私はurjtagで試してみました。私はsudoで実行しようとしましたが、もう一度同じです。助けてください

    2

    1答えて

    私はWebPackを稼働して、マシン上で再び実行し、シンプルなデザインを合成してFPGAにアップロードした後、私はかなりの問題に遭遇しました。 このような行は、ユーザー制約ファイルにあります: NET "W1A<0>" LOC = "P18" ; どのように正確に合成ソフトウェアは、このピンは、VHDLコードによって割り当てられます方法を決定するのでしょうか?例えば 、私が提供してきた。このサ

    0

    1答えて

    こんにちは、既存のFPGAデザインにNIOSllプロセッサを統合しようとしています。私はVHDLで設計された信号監視ユニットを持っており、計算した結果を表示するために、作成したデザインをNIOSllプロセッサに接続する必要があります。私は個別に作業を行う方法を見つけましたが、私は両方の要素を単一のFPGAに入れたいと思っています。 可能ですか? はいの場合は、どうか教えてください。私はALTERA

    0

    2答えて

    私はPWM信号を出力する単純なVHDLモジュールを持っています。 PWMモジュールは、現在のPWMパーセンテージを保持する信号を有する。私がそれを合成して実装すると、その信号はデフォルトで0にリセットされます。実装後にPWMパーセンテージが20など何か他のものを設定する方法はありますか? ありがとうございます!

    3

    1答えて

    Verilogでのハッシュテーブル実装(挿入+参照)の例をいくつか探しています(VHDLも有効です)。初期化時にすべての値を知っているので、私の場合はあまり複雑ではないので、必要なメモリ量、境界などを知ることができます。ハッシュ関数の部分は難しくありません。ハッシュキーをメモリアドレスにマッピングしたり、衝突を管理したりすることができます。しかし、これを最初からすべて書き込むことはリソースを消費す

    3

    2答えて

    EDLを使用してMicroblaze Cプログラミングでループをアンロールすることはできますか? パフォーマンスがさらに必要なので、これが必要です。伝統的に私のCコードは連続して実行されるので、いくつかのコンパイラ指令を使用してループをアンロールすると、アプリケーションが高速化されます。 (例:openMPを使用しています)。 #pragma Unroll for (i = 0; i < 100

    1

    2答えて

    library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; --use ieee.std_logic_signed.all; e

    6

    1答えて

    私はEclipseで新しく、SW開発用とNiosプロセッサ用のAltra環境用に使用しました。しかし今、私は管理しなければならない非常に大きなプロジェクトがあり、Eclipseを使用してシステムのすべてのファイルを管理して更新しやすくしたいと考えています。 プロジェクトには、さまざまなIP用の複数のディレクトリがあり、ASCI、ザイリンクス、およびアルテラFPGAの複数のターゲットがあります。近い

    4

    2答えて

    私は、プログラムメモリの内容がBRAMに保持されているプロセッサベースのデザインをシミュレートしています。私はVHDL(推論BRAMs)を使用してプログラムのメモリを実現しています。私はCoreGenを避けようとしています。デザインを移植性を保ちたいからです。最終的にこのデザインはFPGAに移行します。 私は、VHDLジェネリックを使用してBRAMのメモリ内容を初期化する方法があるかどうかを検討し

    5

    1答えて

    クロック・ドメイン変換(レート・マッチング)を実行している間、通常、メタ・スタンバイ状態を回避するためにデータを二重にフロップします。ダブルフロップはメタ安定性を低下させます。トリプルフロップはそれをさらに減らすでしょう。 メタ安定性と使用されるクロックドメインフロップの数の確率/関係を計算するにはどうすればよいですか?