fpga

    -1

    5答えて

    2.4GHz ISM帯域(2400MHz〜2483.5MHz)トランシーバを備えたSDR(ソフトウェア定義無線)キットをご存知ですか? カスタマイズされた変調を含むいくつかのソフトウェア無線を実行する必要があります。また、1つのキットの価格は最大1000ドルでなければなりません。そこには非常に高価なソリューションがいくつかあることは分かっていますが、それは残念なことにオプションではありません。 ま

    4

    1答えて

    OLEDディスプレイを搭載したAvnet ADS-XLX-V4FX-EVL12-G(Virtex4評価ボード)があります。私はザイリンクスEDK 10.1をザイリンクスプラットフォームスタジオ10.1 で使用し、いくつかの基本的なアプリケーションをボードにアップロードしました(シリアル 通信)。 今私はボードに搭載されたOLEDディスプレイを使用したいが、私はどのように開始するには、no idee

    1

    2答えて

    私は古い世代のFPGAをレガシーシステムとインターフェースすることを検討していました。だから私は、そのトランジスタ数を考慮してASICを交換するためにどれくらいのスペースが必要かを見積もる良い方法が必要です。 VerilogとVHDLは使用率に影響しますか? (私たちの請負業者の1人によると、それはタイミングに影響するため、利用率は高いようです) 異なるベンダーの部品はどのような影響を与えますか?

    3

    5答えて

    現在、RTLをテストしていますが、ncverilogを使用していますが、非常に遅いです。 FPGAボードを使用すると、処理速度が向上すると聞いています。本当ですか?

    1

    5答えて

    私の会社は、XC3000シリーズチップの一部である旧式のザイリンクスFPGA(XC3042A)を使用してPCBを構築しようとしています。チップにデータをプログラミングする経験がある人はいますか?私は人々が使用したソフトウェア、ハードウェアなどを探しています。

    3

    5答えて

    私はMSIL/CLRアプリケーション(C#で書かれています)のセットを持っています。私は純粋なハードウェアプラットフォームにそれらを焼く方法を探しています。アルテラにはNIOS IIと呼ばれるエンベデッド・プロセッサが搭載されており、eCLR(組み込み共通言語ランタイム)をサポートしているようですが、それは単なるプロセッサであり、エンド・マーケット製品とは見なされません。 誰もがMSIL/CLRア

    11

    6答えて

    私の遺伝的アルゴリズムの疑似乱数をSpartan-3E FPGAで生成する必要があります。これをVerilogで実装したいと思います。

    1

    1答えて

    私は32ビットカウンタをインクリメントし、$ sformatを使ってASCII文字列に変換した後、FTDI FT245RLを使って文字列をホストマシンに1バイトずつプッシュします。 残念ながら、ザイリンクスXSTは文字列レジスタベクトルを最適化し続けます。私は、さまざまな初期化とアクセスルーチンでうまくいっていなかった。私は最適化をオフにすることはできません、私はオンラインで見つけるすべての例は、