fpga

    2

    1答えて

    のFPGA実装では、標準quantisationおよびhuffman tablesを使用しています。 質問: は、我々はその場でハフマンテーブルを生成することはできますか。 フレームが入力として与えられると、FPGAのブロックは、frameの対応するhuffman tablesを生成する必要があります。 blockは、いくつかの今後のフレームが同様のものになるため、いつかリラックスすることができま

    0

    1答えて

    アルテラのQuartusパッケージを使用したFPGAプログラミングの学習を始めます。 私は、次のものが含まれ、いくつかのレガシーコードを持っている: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library floatfixlib; use fl

    0

    1答えて

    私はzpboardでFreeRTOSにFPGAの割り込みをリンクしようとしています。私はコードを書くとき: InterruptController = (XScuGic *)prvGetInterruptControllerInstance(); を私はザイリンクスSDKからエラーを取得言った: undefined reference to `prvGetInterruptController

    0

    1答えて

    私はテスト目的のために私のFPGAに多くのECCポイントを与えたいと思っています。 ECCポイントを含むファイルも保存したいと思います。任意の方法があります、私は特定の楕円曲線のECCランダムな点を生成することができますか? FPGAで直接使用することも、他のツールを使用して(他のプログラミング言語を使用して)生成してそこで使用することもできます。どんな勧告?

    1

    1答えて

    私は配列/ベクトルを持っています。最初のものを処理することによって新しい配列を生成したいと思います。私が必要とする簡単な例は、新しい配列のすべてのi番目の要素は、最初のものからのi-1、i、およびi + 1要素の合計です。 これは配列を繰り返し処理することで、非常に簡単にシーケンシャルな方法で行うことができます。 new [i]:= old [i-1] + old [i] + old [i + 1

    0

    1答えて

    カスタムハードウェアのSpartan 6(XC6SLX16-2CSG225I)およびDDR(IS43R86400D)メモリインターフェイスに問題があります。私はSP601 devボードで試してみましたが、すべて期待どおりに動作します。 このサンプルプロジェクトを使用すると、soft_calibrationを有効にすると完了せず、calib_doneは低く保たれます。 キャリブレーションを無効にする

    0

    1答えて

    私はどのくらいの電力私はFPGA上またはCPU上でこのアルゴリズムを実装する場合、(およそ少なくとも)を予測することができるだろう 、私のアルゴリズムのそれぞれが消費しているどのように多くのフロップ(浮動小数点演算)を抽出しています消費されるだろうか? CPUまたはASIC/FPGAのどちらの電力見積もりも私には良いことです。私は数式のようなものを求めています。私はIntel CPU用にthis

    1

    1答えて

    私はPCI BAR0からFPGAメモリにアクセスする必要があるプロジェクトに取り組んでいますユーザー空間。私は古いカーネルで行うために使用何 は/SYS /バス/ PCI /デバイス/ my_device/に位置し、その後公開さのmmap()機能を使用しているresource0という名前のファイル開いていますユーザ空間へのFPGAメモリ。 残念ながら、カーネルバージョン4.7(アーキテクチャARM

    0

    1答えて

    アルテラのFPGA Max10は、4つのPLLを使用できます(1-4)。 Qustion:デザインに使用できるPLLを特定する方法、またはQuartusがPLLを選択する方法はありますか? 特定のPLLのクロック入力は、同じバンクに2つのオプションがある場合、ADC1に使用する必要がありますか? ありがとう!アルテラで

    0

    2答えて

    FPGAを使用していて、差動マンチェスターデコーダを実装していますが、今はクロックリカバリに問題があります。 マンチェスターコードのクロックは40MHzですが、オーバーサンプリングは5回しかできません。したがって、FPGA内部のクロックは200MHzです。 いくつかの歪みのために、データストリームは時にはいくつかの追加のピークで妨害されることがあります。これまでは、受信したデータストリームごとに回