fpga

    1

    2答えて

    私はVHDLを使用して2つの浮動小数点数を分割する最も簡単な方法を探しています。私は合成可能なコードが必要です(私はSpartan 3 FPGAで実装します)。 最初のオペランドは常に固定数(たとえば600)になり、2番目の整数は0から99999までの整数になります。固定数は被除数、整数1は除数です。だから私はこのような何かを計算する必要があります:600/124。 または124の代わりに他の数字

    2

    1答えて

    私はFPGAとVerilogの新機能を使用していますが、シミュレータで実行するコードを取得するのに問題があります。 Isimシミュレータは私のコード内の整数で "動作していない"ようです。以下は、関連コードのスニペットです。私は整数が10に達するたびにSCK_genをトグルすることによってclkパルスを分割しようとしています。このコードをIsimで実行すると、SCK_genは決して値を変更しません

    3

    2答えて

    私はプロジェクトで作業しています。私は特定の場所に組み込みシステムをインストールしたい、システムにはカメラがあり、システムは実行する必要があります画像処理機能は、カメラから得られた画像に機能する。 システムにはgpsおよびgsmモジュールが接続されている必要があります。 私はハードウェアを選択する過程で、私はビーグルボードやFPGAを使用することを考えていますが、どちらが私のアプリケーションに適し

    8

    2答えて

    私はCで80ビットのキーを含む暗号アルゴリズムを実装しています。 特定の操作では、キーxビットをシフトする回転が必要です。 私は間違っていないと80bitsですが、ビットシフト演算子では動作しないlong double型を試しました。 私が思い付く唯一の選択肢は、いくつかの複雑なループとif-elseで10要素のchar配列を使用することです。 私の質問は、これを実行する簡単で効率的な方法があるか

    0

    1答えて

    私はHobbyとSWをインターフェイスすることで画像処理を行っています。私はこれにかなりの初心者です。既存の画像処理コマンドを使用してMatlabの基本的な画像処理を行う方法を知っています。 私は個人的にHWでの作業を楽しんでおり、これを行うにはHW/SWの組み合わせが必要でした。私は、FPGAを使っている人や、基本的なFPGA /マイクロコントローラを使って、これを行う人々についての記事を読んだ

    2

    2答えて

    私は、私に取り組んでいるAGC/SPIコントローラの奇妙な振る舞いのように思えます。これは、ザイリンクスのSpartan 3e FPGAをターゲットにしたVerilogで行われています。コントローラは外部入力を使用して起動するFSMです。 FSMの状態は明示的に初期化されていないstate_regに格納されています。初期化されていないレジスタはデフォルトでゼロになると思います。コントローラを実装す

    0

    2答えて

    私の上司は、アルテラのボード上でPCIエクスプレスをテストするためのコードを提供しています。コードは、Biosの読み込み、いくつかのレジスタの設定、バッファへの書き込みなどの命令を持ついくつかのCコードファイルで構成されています。 現在、私の仕事は実行しているコードの機能を見ることです。 私はFPGAを初めて使用しており、FPGA用にコンパイルするためにどのツール、コンパイラなどを使用するのか理解

    0

    2答えて

    ブラウジングと読書の時間がたっても、私のコードがなぜ機能していないのか分かりません。異なるWebサイトで同様のコードスニペットを見ましたが、うまく動作しないようです。筆記部分は機能していますが、読みが間違っています。すべての「実際のキャラクタ」の後には、3つのヌルターミネータが続きます。 19文字の文字列を書くと私が使用しているFPGAはディスプレイ上に正しいデータを与えます。 FPGAは入力を反

    3

    3答えて

    非常に基本的な質問: は、どのように私は知っているポート/信号/値は矢印のどちら側に配置する必要がありますか? 非常に等しいと思われる port_a => x〜x <= port_aに切り替えると気づきました。 また、x => port_aは役に立たない 矢印がどのように指すべきかわかりません。 回答は本当にありがとうございます!

    1

    2答えて

    entity Adder4Bit is Port (A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); S : out STD_LOGIC_VECTOR (3 downto 0); COUT : out STD_LOGIC); end Adder4Bit;