fpga

    0

    1答えて

    ここに誰かがカスタムhwでLEON3ソフトコアを拡張していますか?私はAMBA AHBバスにカスタムペリフェラルを追加する基本的な例を探しています

    0

    1答えて

    誰もがパイプラインなしでシンプルなMIPS Verilogコードについて教えてもらえますか? よろしく

    3

    2答えて

    ブロックラムの推論をテストするための小さなデバイスを合成しました。 私はXSTからのメッセージだ: 小さなRAMが するためにルックアップテーブルに を実装されますが、パフォーマンスを最大化し、 RAMリソースをブロックして保存します。 を強制的にブロックに実装する場合は、 オプション/制約ram_styleを使用します。 しかし、私はISE(私の場合は11.1)、または制約ファイルのいずれかで、

    6

    3答えて

    私は、xilinx FPGA上のmicroblazeマイクロプロセッサをベースにしたマイクロコントローラを設計しています。ほとんどのハードウェアの設定は完了です。この時点で私が更新しているのは、microblaze上で実行されるcコードだけです。 XPSには、プロジェクト全体、つまりCコード部分だけを再構築する必要がない方法がありますか?プロジェクト全体を再構築するのに約20分かかります。私がしな

    1

    1答えて

    FPGAとノーマルクォードx86コンピュータのアルゴリズムの実行速度を確認しています。 x86では、アルゴリズムを何度も実行し、OSのオーバーヘッドを排除するために中央値をとります。これはエラーからカーブを「消去」します。 これは問題ではありません。 FSMDはとにかくサイクルをカウントするのは簡単であるとFPGAのアルゴリズムで 尺度は、時間にサイクルを要し、その後のサイクルにあり、... 我々

    0

    2答えて

    FPGAにロード・バランシング・スタックを実装したいと考えています。 link textこの調査以外に、利用可能なものは見つかりませんでした。 独自のまたはオープンソースのLBスタックがありますか?それは、複数のイーサネットリンクを持つFPGAの周りのハードウェアを必要とするので 乾杯、 ルイ

    12

    2答えて

    私はModelSimでいくつかのVHDLを実行しています。 (vcom-1292) Slice range direction "downto" specified in slice with prefix of unknown direction.これは単なるメッセージ例であり、意味を理解しています メンターには、すべての可能性のあるエラーコードのリストがあり、

    7

    2答えて

    セレクタのビット数とデコードされた出力信号のビット数を変更するのに十分柔軟なアドレスデコーダを作成したいと思います。 ので、代わりに静的(固定入力/出力サイズ)を有するのこのようなものになりますデコーダー: entity Address_Decoder is Generic ( C_INPUT_SIZE: integer := 2 ); Port

    3

    4答えて

    チップが動作している間にFPGAを更新できるという印象を受けているようです。それが正しいかどうかを知る必要があります。 私が読んだところでは、プロセッサ上で実行されているプログラムを変更するのと同じ方法でFPGAネットリストをオンデマンドで変更できます。はい、私はFPGAがプロセッサではないことを知っています。 私の前提は正しいですか?

    2

    1答えて

    PCからFPGAキット(ALTERA DE2-70)に小さな画像(tif形式)を読み込んで処理する必要があります。私はどのようにVerilogでそれを行うか分からない? Cで実行できますか?もしそうなら、どのように私のC/HDLコードを組み合わせて一緒に作業することができますか? ありがとうございました!