私はWebPackを稼働して、マシン上で再び実行し、シンプルなデザインを合成してFPGAにアップロードした後、私はかなりの問題に遭遇しました。ユーザー制約ファイルは実際にどのように機能しますか?
このような行は、ユーザー制約ファイルにあります:
NET "W1A<0>" LOC = "P18" ;
どのように正確に合成ソフトウェアは、このピンは、VHDLコードによって割り当てられます方法を決定するのでしょうか?例えば
、私が提供してきた。このサンプルコード取る:
entity Webpack_Quickstart is
Port (
W1A : out STD_LOGIC_VECTOR(15 downto 0);
rx : in STD_LOGIC;
tx : inout STD_LOGIC;
clk : in STD_LOGIC
);
end Webpack_Quickstart;
architecture Behavioral of Webpack_Quickstart is
signal counter : STD_LOGIC_VECTOR(47 downto 0) := (others => '0');
begin
W1A(0) <= '1';
end;
をどのように正確に、このコードは私のFPGA上のWIA0
ピンがオンに作るのですか?リンクは何ですか?それはエンティティ宣言の港の名前だけで、より多くの魔法が関与しているのでしょうか?
はい、ほとんどの場合、VHDLに論理信号を指定し、その論理信号が接続する物理的な場所を指定します。配置配線ロジックによって、接続が確実に行われます。 –