qsys

    0

    1答えて

    fpgaでフォーク結合問題を転送したいとします。 メモリコンポーネントにアクセスする小さなコンポーネント(> 100)が数多く存在するため、入力データ(32ビットベクタ数)を少量のサイクル(〜50)で処理し、相互作用することなく処理します。別のメモリにアクセスするためのデータ。 相互接続に関して、従来のバスソリューションを使用する必要があるか、システムレベルツール(Qsys altera)で提供さ

    0

    1答えて

    私はカスタムのQSysコンポーネントを持っており、これは2つのアルテラIPをインスタンス化します。アルテラのIPは、.qsysファイルの形式です。私のカスタムコンポーネントを通してQsysを再帰させ、私のためにインスタンス化されたIPを生成することは可能ですか?現在、私はそれぞれのIPを別々に開いてそれを生成しなければなりません。ただコンポーネントが正しく合成されますか?

    0

    1答えて

    私はuClinux v2.6を実行するためにQsysでdesignを使用しています。 私は新しいリンクスを実行したいと思いますが、私はQsysのデザインを変更し、newer Linuxは2つのタイマーを使用しているため、別のタイマーを追加しなければならないことを告げWA。それは正しいのですか、それについての情報はどこにありますか? 私が使用しているボードは、アルテラDE2-115で、Qsys de

    6

    3答えて

    私はAltera DE2-115のlinux-socfpaをフェッチしてビルドしました。私はそれを構築するためにbuildrootとu-bootを使用しました。それは始まりますが、ファイルシステムはありません。私はSDカードをFPGAに接続していますが、SDカードをファイルシステムとして使用できる方法はありますか? システム情報: Linux version 4.9.0-00104-g84d4f8

    0

    1答えて

    qsysでSPIマスターコアを生成する際にいくつか問題があります。 私はきれいなデザイン(コアはありません)を開いて、SPIコアを追加して、すべての信号をエクスポートしました。 私はデザインを生成しようとすると、そのが不足しているファイルに関するエラーを与える - 私が間違って何をしspi_0 をやっていますか?