2016-06-24 3 views
0

Switch0の値をLEDに表示させたいですか?VHDL - FPGA4U - スイッチの値を取得

ここで私のエンティティの:

port(
    switchA : in std_logic_vector(7 downto 0) 
); 

そして、ここでは私のカスタムタイプがあります:

type text_type is array (0 to 7) of std_logic_vector(63 downto 0); 
signal text, nexttext, shiftedtext : text_type; 
signal countertext, nextcountertext: std_logic_vector(15 downto 0); 

私はこのコードを試みたが、それは動作しません!

if(button_n(0)='1')then 

nextstate<=Finish; 
countertext <= (0 to 7 => switchA , others => '0');   --- Get SwitchA Value!! --- 
end if; 

ここではFPGA4Uボードの画像です:FPGA4U Board

そして最後に、ここで

when names1 => 
nextstate<=test2; 
nextcountertext<=(others=>'0'); 
nexttext <=("0000000000000000000000000000000000000000000000000000000000000000", 
"0000000000000000000000000000000000000000000000000000000000000000", 
"0000000000000000000000000000000000000000000000000000000000000000", 
"0000000001111100011111110000111000100010000000000000000000000000", 
"0000000000010000100010001001000100110010000000000000000000000000", 
"0000000000010000100010001001111100101010000000000000000000000000", 
"0000000000010000100010001001000100100110000000000000000000000000", 
"0000000001111100100010001001000100100010000000000000000000000000"); 

-- This Code Show "IMAN" on The LEDs!! 

いくつかのLEDを可能とするための一例だ誰も私を助けてくださいことはできますか?私は本当に混乱しています。

+2

動作しないコードは分離された断片です。適切な文脈では、それはうまくいくかもしれません。最小限のコンパイル可能な例を投稿してください。 –

答えて

-1

countertextは、現在のレベル(状態)を示す信号です。 例あなたが "IMAN"テキスト書き込みレベル(あなたの最初のテキストです)にいる場合、nextcountertextはコンターテキスト+ 1(= 2)になり、各レベルで現在のレベルを確認してメッ​​セージを表示する順序を保存できます。

+0

携帯電話の略語は使用しないでください。 – jcoppens

関連する問題