Switch0
の値をLEDに表示させたいですか?VHDL - FPGA4U - スイッチの値を取得
ここで私のエンティティの:
port(
switchA : in std_logic_vector(7 downto 0)
);
そして、ここでは私のカスタムタイプがあります:
type text_type is array (0 to 7) of std_logic_vector(63 downto 0);
signal text, nexttext, shiftedtext : text_type;
signal countertext, nextcountertext: std_logic_vector(15 downto 0);
私はこのコードを試みたが、それは動作しません!
if(button_n(0)='1')then
nextstate<=Finish;
countertext <= (0 to 7 => switchA , others => '0'); --- Get SwitchA Value!! ---
end if;
ここではFPGA4Uボードの画像です:FPGA4U Board
そして最後に、ここで
when names1 =>
nextstate<=test2;
nextcountertext<=(others=>'0');
nexttext <=("0000000000000000000000000000000000000000000000000000000000000000",
"0000000000000000000000000000000000000000000000000000000000000000",
"0000000000000000000000000000000000000000000000000000000000000000",
"0000000001111100011111110000111000100010000000000000000000000000",
"0000000000010000100010001001000100110010000000000000000000000000",
"0000000000010000100010001001111100101010000000000000000000000000",
"0000000000010000100010001001000100100110000000000000000000000000",
"0000000001111100100010001001000100100010000000000000000000000000");
-- This Code Show "IMAN" on The LEDs!!
いくつかのLEDを可能とするための一例だ誰も私を助けてくださいことはできますか?私は本当に混乱しています。
動作しないコードは分離された断片です。適切な文脈では、それはうまくいくかもしれません。最小限のコンパイル可能な例を投稿してください。 –