1つのシーケンスの各クロックパルスでLEDシーケンスを変更するプログラムを作成する必要があります。 library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity REG_LED is
PORT(CLK: IN std_logic
私は6ビットのstd_logic_vectorを複数の定数の任意の(つまり実行していない)6ビットの値と比較したいif節を持っています。私は "|"ケースストラクチャでは、しかしifステートメントを維持しながら、次のことを短縮する方法がありますか?私は100%確信していませんが、残りのデザインはVHDL93を使用していると思います。 if not (de_inst(31 downto 30) =