2016-10-29 2 views
1

VHDLコードに固定小数点値があるとしましょう。これはstd_logic_vectorとして定義されています。私は最後の4ビットが小数であることを知っています。vivadoに固定小数点値を表示

シミュレータを使用すると、最後の4ビットが小数点として表示されませんが、シミュレーションでそれを変更する可能性があるので、シミュレーションでは3番目のビットが0.5、2番目0.25のような値ですか?

答えて

1

これは、固定小数点表現としてシミュレータで結果を表示するVivadoで可能です。

シミュレータで固定小数点で表示する信号を右クリックすると、radix - > real settingsをクリックします。ここで次のウィンドウが表示され、固定小数点を選択できます。

Real settings window

Real settings window

1

これは残念なことにこれが可能であると疑う。具体的にSTD_LOGIC用タイプは以下のとおりです。シミュレータはONLY上記の記号を認識された結果

'U': Uninitialized. This signal hasn't been set yet.

'X': Unknown Impossible to determine this value/result.

'0': Logic 0

'1': Logic 1

'Z': High Impedance

'W': Weak signal, can't tell if it should be 0 or 1.

'L': Weak signal that should probably go to 0 'H': Weak signal that should probably go to 1

'-': Don't care.

、何か他のものは、ビットを記述するための浮動小数点数を含め、エラーになります。ここで表示される浮動小数点値を入れしようとしている私からの例です:

add_force {/test/a[27]} -radix unsigned {0.4 0ns}

ERROR: [Simtcl 6-179] Couldn't add force for the following reason: Illegal value '0.4': Could not convert value '0.4' to a decimal number.

ので、私はあなたが統合シミュレータを使用して推測タグ付けされたとして、私はまた、Vivadoを観察しました。私の例では、浮動小数点数に最も近いのは小数です。 Vivadoには、シミュレーションで表示する浮動小数点をサポートする変数が組み込まれていません。以下はサポートする基数を示していますので、選択肢のみに厳重に制限されています。これらの選択肢はすべてASCII以外のエラーになりますが、それはあなたが望む動作ではないと思います。

1

関連する問題