uvm

    0

    2答えて

    EDIT:私は以下の方法を試してみました:ロジックの代わりにワイヤにインターフェイスを設定し、ドライバのZを制御から放棄したい他の運転者が引き継ぐことができるように信号を送る。 u_slave_dutが私のインターフェースから駆動されていないのが分かるので、まだ動作しません。何が間違っているかの手がかりは?私の動作例:https://www.edaplayground.com/x/4SSP いくつ

    3

    2答えて

    VHDLモジュールにインターフェイスをバインドしようとしています。モジュールに次のように定義されて私はにバインドする信号: TYPE dut_fsm_type is ( IDLE_STATE, WAIT_STATE, IDENTIFY_STATE, LATCH_STATE, DONE_STA

    0

    1答えて

    peekの関数uvm_regがシミュレーション時間0の値を返したと思いました。この機能が必要なので、HDLバックドアアクセスパスをすべて実装しました。クロック・サイクルごとに、ゼロシミュレーション時間に、my_regが0 state==DISABLEであることを主張する:これはだった私のスコアボード while (state == DISABLE) begin uvm_reg_data_

    0

    1答えて

    結核の計画に直面している1つの問題を解決するのを手伝ってください。今、私は私のテストは、このWIDTHパラメータを制御したい module Multiplier #(parameter WIDTH = 8) ( input [WIDTH-1:0] addr, : はのは、私のDUTをパラメータと一部の入力ポートを持っているようなものを想定してみましょう。 テストでWIDTHパラメータを

    0

    2答えて

    テスト環境で使用するための一般的なタスクと関数を含むパッケージを作成しようとしています。 例えば、 package test_misc_pkg; `include "uvm_macros.svh" import uvm_pkg::*; task wait_rest(); virtual test_if test_vif; if (!uvm_config_db #(vir

    0

    2答えて

    デフォルトマップでreg(たとえばVRF050)を追加し、そのアドレスは sv codeのように0x1000a050にする必要があります。 しかし、どういうわけか、シミュレーションでは0x40028143に転送されますSimVision この予期しない変更は何か方法やアイデア、キーワードがありますか?おかげさまで

    0

    2答えて

    次のように私は、仮想シーケンスのためにいくつかのコードを書いています: class base_v_seq extends uvm_sequence #(uvm_sequence_item); seqr1 seqr1h; seqr2 seqr2h; //function new...... endclass class v_seq1 extends base_v_seq #(uvm_se

    0

    1答えて

    を行く: class base_transaction extends uvm_sequence_item(); bit [] rand_bit_list; function int my_randomize(int seed); .... endfunction: my_randomize() endclass: base_transaction

    1

    1答えて

    自分のクラスの親クラスにこのようなプロパティがあるかどうかをチェックしたいと思います。そしてもしそうなら、それにアクセスするよりも。 if ($cast(this.get_parent(), agent_inst) && agent_inst != "NULL") if (agent_inst.vitf != "NULL") vitf = agent_inst.vitf;

    0

    1答えて

    私はレジスタ層用のアダプタを作成する必要があります。私は再利用したいバスにアクセスするためのシーケンスを持っています。 現在、アダプタはitemを返し、regレイヤはstart_itemを実行します。 シーケンスを使用する方法はありますか?regレイヤーは起動するだけです。 ありがとう、