system-verilog

    0

    1答えて

    UVMを初めて使用しています。 「排水時間」の概念について質問があります。本当のuvmテスト環境で「排水時間」がどのように役立つのでしょうか? 例を表示する方が良いでしょう。 ありがとう〜!

    -1

    2答えて

    module hh (input [2:0] a [0:3], output b); wire [2:0] c1 [4:1]; wire [0:2] c2 [0:3]; wire d; u_hh_1 hh (.a(c1 ), .b(d)); // it is right; u_hh_2 hh (.a(c1[4:1][2:0]), .b(d)); // illegal slice

    0

    1答えて

    あるモジュールの出力(B)を別のモジュール(C)の入力にするモジュールを作る方法はあまりよくわかりません。

    0

    1答えて

    は、だから私は、イベントがトリガされたときに、いくつかのハードワイヤード確率でベクター中でいくつかのビットを設定したかったので、私はこれでした: always @(some_event) begin err_byte[0] = ($urandom()&65535 < 85) ? 1'b1 : 1'b0; err_byte[1] = ($urandom()&65535 < 85)

    1

    1答えて

    この2つのコードスニペットが異なる動作をする理由を説明することはできますか。 2番目のケースでは、10個のトランザクションでシーケンスを開始するとき、最初に2つのトランザクションが同時に発生し、1つが別のトランザクションをオーバーライドし、シミュレーションの開始時にのみ発生します。すべてが大丈夫です。 task fir_driver::drive_write(input fir_item#(D_W

    -2

    1答えて

    システムでは、$ urandomは+ ntb_random_seedを使用しているため、問題を再現するのが容易になります。しかし、私はそれぞれのインスタンスに対して異なるシーケンスを使いたいと思っています。同一のランダム値を持つモジュールのすべてのインスタンスが目的を果たしていない場合 ありがとうございました。あなたが$urandomを使用している場合 おかげで、 Kannan

    1

    1答えて

    たとえば、IOs AとBが接続されている間にIOnsとIOの間に10nsの遅延があります。 IOは500MHz(2ns周期)で動作します。 デフォルトでは、Verilogはフィルタとして機能する慣性遅延を使用します。したがって、インターコネクト・ワイヤをwire #(10ns) io;と定義すると、データがフィルタリングされるため、機能しません。 wire #(10ns) io; assign

    0

    1答えて

    列挙メンバに "x"を割り当てることはできますか?はいの場合、 のメリットは何ですか?いいえの場合、制限は何ですか?これであなたの助けを感謝します。