uvm

    3

    2答えて

    systemvilogでuvmの登録フィールドを名前で取得しようとしています。正規表現を使用して、フィールド名にパターンを使用できるようにしたい。ここに私のレジスタフィールドである: YY_XXX_2_N ZZ_BBB_3_N UU_AAA_8_N MM_CCC_4_N YY_WWW_9_N あなたはすべてのレジスタフィールドが_Nで終わるされて見ることができるように、私はフィールドを

    -1

    1答えて

    私はときどき混乱していることがわかりました。 は、私は誰かが工場、config_dg間で異なっを明確にすることができれば感謝し、

    0

    2答えて

    systemverilogシミュレータ(Ius/cadence、Questa/Mentor、またはVCS/Synopsys)では、通常、波形内のクラスの変数systemverilogを表示する必要があります。 これを行う方法はありますか?

    0

    1答えて

    UVMテストベンチで使用する.sv RALファイルを生成するツールがあります。問題は、このファイルがレジスタブロックをパッケージとして作成することです。私の問題は、私のテストベンチのために、複数の.sv RALファイル(異なるregブロックを表す)をインポートしたいということです。 これを行うには、私は単一のパッケージall_my_regs_pkg.svを作成し、 `このパッケージに他のパッケージ

    1

    1答えて

    ケースの状態が異常な場合にテストが中止されることを望みます。 UVM_ERRORを使用しましたが、これはテキストメッセージのみを生成します。 テキストメッセージに加えて条件エラーが発生した場合のテスト/シミュレーションを停止する具体的な方法はありますか(systemVerilogのアサートのようなもの)?

    0

    1答えて

    私は別のクラスからこのマクロを呼び出すためにUVMプロジェクトに //--------------------------------------------------------- // General macros which contain sequence repeate // in many places. //----------------------------------

    1

    1答えて

    私はUVMを学びたいと思っていますが、私はこれを知りたがっています。下のダイアグラムは継承を表していますか?たとえばuvm_objectはuvm_voidから継承しますか?私はUVM 1.1 Classのリファレンスを読んでいます。 17ページに記載されています。 uvm_voidクラスは、すべてのUVMクラスの基本クラスです。データメンバや関数を持たない抽象クラス です。これにより、Cプログラミ

    0

    1答えて

    私はシーケンスとトランザクションで、それぞれのテストを変更したいと思います。 最適なオプションは何ですか?各テストに設定ファイルを持たせるか、または1つの設定ファイルを持ってテストファイル(uvm_test)のvaraiblesの値を設定するには?

    0

    1答えて

    モデル10.4bでUVMを使用するのが初めてです。クラスがコンパイルされているかどうかを確認しようとしていますが、次のコンパイルエラーが発生しています。また、誰かが私をUVM排除の流れに向けることができれば、それは本当に役に立ちます。私はオンラインリソースを検索しました。 class fifo_trans_item extends uvm_sequence_item; rand bit

    0

    1答えて

    どのシーケンサーが現在シーケンサー上で実行されているかのレポートを取得するにはどうすればよいですか? これはデバッグ専用です...私には既に問題があることがわかります。私が望むのはもう少しデバッグ情報です。実行可能な複数のシーケンスがあり、どのシーケンスがキューに入れられているかを知りたい。私はget_next_itemのブロックを解除した後にドライバから情報(名前順)を取得することで解決しますが