2016-11-01 21 views
0

モデル10.4bでUVMを使用するのが初めてです。クラスがコンパイルされているかどうかを確認しようとしていますが、次のコンパイルエラーが発生しています。また、誰かが私をUVM排除の流れに向けることができれば、それは本当に役に立ちます。私はオンラインリソースを検索しました。ModelsimでUVM TBをコンパイルしてシミュレートする1​​0.4b

class fifo_trans_item extends uvm_sequence_item; 

    rand bit [15:0] data_in; 
    rand bit push; 
    rand bit pop; 
    rand bit w_en; 
    rand bit r_en; 

endclass 

これは私が取得しているエラーです。これはツール関連の問題ですが、この質問をするフォーラムに私をリダイレクトしてください。

Loading project MIPS 
# reading modelsim.ini 
# Loading project UVM_tb 
# UVM Details: design does not contain any UVM components, UVM debugging is disabled, or an unknown questa_uvm_pkg has been loaded. 
# Compile of sequence.sv failed with 2 errors. 

** Error: (vlog-13069) /afs/asu.edu/users/s/m/u/smukerji/sequence.sv(1): near "uvm_sequence_item": syntax error, unexpected IDENTIFIER. 
** Error: /afs/asu.edu/users/s/m/u/smukerji/sequence.sv(1): Error in class extension specification. 

答えて

2

あなたは

+0

おかげUVMベースクラスライブラリとマクロを参照するすべてのファイルに

import uvm_pkg::*; 'include "uvm_macros.svh" 

を必要としています。それ以外のシミュレーションフローは、コンパイル、シミュレーションの開始、ライブラリのロード、ウェーブへの追加など、.vまたは.svファイルの実行と同じですか? –

+0

正しい。 Modelsim/Questaには、あらかじめコンパイルされたUVMライブラリが付属しており、マクロファイル用に+ incdirが自動的に追加されます。 –

関連する問題