2011-01-12 3 views
3

ブロックラムの推論をテストするための小さなデバイスを合成しました。ザイリンクスISEにブロックRAMを強制的に使用させるには?

私はXSTからのメッセージだ:

小さなRAMが するためにルックアップテーブルに を実装されますが、パフォーマンスを最大化し、 RAMリソースをブロックして保存します。 を強制的にブロックに実装する場合は、 オプション/制約ram_styleを使用します。

しかし、私はISE(私の場合は11.1)、または制約ファイルのいずれかで、このオプション/制約を見つけるために知っていない...

私はVHDL属性を直接使用する必要はありません。私のコードで。

+0

実行-ram_style BLOCKコマンドラインでトリックを行うことができます。 – JCLL

答えて

5

プロジェクトディレクトリに、「your-design.xst」というファイルがあります。あなたは(または任意の場所に「実行」後の)リストの最後に以下を追加することができます

-ram_style block # (| auto | distributed) 
-rom_style block # (| auto | distributed) 

これらは、LUTベースのメモリを意味している(あなたがBRAMマッピングの代わりに、分散RAMを取得するつもりだことを確認する必要があり)。

また、このオプションは便利になることができます:

-auto_bram_packing yes # (| no) 

は、それらのそれぞれが自分のライン上にある、とあなたは「#」を削除する必要があり、その後に来るものは何でもだろうことをしなければならないことを覚えておいてください。

あなたはISE GUIを使用している場合は、

Synthesis -> Process Properties -> HDL options 

に移動し、そこに上記のオプションを選択します。

(ところで、私たちはのFPGA専用のSEサイトを開始しようとしている...それを支える考える... http://area51.stackexchange.com/proposals/20632/programmable-logic-and-fpga-design?referrer=YmxhQ2OJUo-FAaI1gMp5oQ2

2

run -ram_style BLOCKコマンドラインでトリックを行うことができます。

関連する問題