library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
--use ieee.std_logic_unsigned.all;
--use ieee.std_logic_signed.all;
e
は、私はレコードタイプ内のフィールドを変更するには、次のような関数を使用したいと思い、次の -- Control Register Address Type Declaration
SUBTYPE ctrl_reg_addr_type IS std_logic_vector(ADDR_BITS-1 DOWNTO 0);
-- Control Register Data Type