vhdl

    5

    3答えて

    数値が2**MAX - 1であるunsigned(MAX downto 0)がある場合、VHDL(87 | 93 | 200X)規格では1ずつインクリメントしたときの動作を定義していますか? (または、同様に、私は0から1で、それをデクリメントするとき?)

    5

    1答えて

    整数型オブジェクトをVHDLの実数型に変換する共通の変換関数はありますか? これはテストベンチのため合成能力は問題ではありません。

    1

    2答えて

    library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; --use ieee.std_logic_signed.all; e

    6

    1答えて

    私はEclipseで新しく、SW開発用とNiosプロセッサ用のAltra環境用に使用しました。しかし今、私は管理しなければならない非常に大きなプロジェクトがあり、Eclipseを使用してシステムのすべてのファイルを管理して更新しやすくしたいと考えています。 プロジェクトには、さまざまなIP用の複数のディレクトリがあり、ASCI、ザイリンクス、およびアルテラFPGAの複数のターゲットがあります。近い

    0

    2答えて

    は、私はレコードタイプ内のフィールドを変更するには、次のような関数を使用したいと思い、次の -- Control Register Address Type Declaration SUBTYPE ctrl_reg_addr_type IS std_logic_vector(ADDR_BITS-1 DOWNTO 0); -- Control Register Data Type

    5

    2答えて

    私はVerilogプログラムをvhdlに変換しようとしていますが、Verilogプログラムで疑問符(?)演算子が使用されているステートメントを見つけました。 以下はVerilogコードです。 1 module music(clk, speaker); 2 input clk; 3 output speaker; 4 parameter clkdivider = 25000000/440/2;

    0

    1答えて

    私はVHDLの初心者です。私はログイン端末が必要です。たとえば、私は7010のパスワードを固定しています。私はautomatのfuncionalityだけを実装する必要があります。私は1つの信号を定義したいと思っています。それはキーの押下回数を保持し、毎回増分されます。 しかし、私はシグナルを初期化する方法を知っていません。なぜなら、あるプロセスでシグナルを初期化するとき、他のプロセスでその値を増

    4

    2答えて

    私は、プログラムメモリの内容がBRAMに保持されているプロセッサベースのデザインをシミュレートしています。私はVHDL(推論BRAMs)を使用してプログラムのメモリを実現しています。私はCoreGenを避けようとしています。デザインを移植性を保ちたいからです。最終的にこのデザインはFPGAに移行します。 私は、VHDLジェネリックを使用してBRAMのメモリ内容を初期化する方法があるかどうかを検討し

    5

    2答えて

    汎用パッケージを使用することはできますか? これは、エンティティをインスタンス化するときに私はいくつかの一般的なものを提供し、パッケージはそれに依存することを意味します。 私は(すなわち、異なるパラメータ)異なるパッケージで、それぞれの時間を、私は何度か使用したいブロックを持っている 私は、配列の配列を使用するため、パッケージを使用する必要がありますし、私は唯一であることを行うことができパッケージ

    3

    1答えて

    Verilogでのハッシュテーブル実装(挿入+参照)の例をいくつか探しています(VHDLも有効です)。初期化時にすべての値を知っているので、私の場合はあまり複雑ではないので、必要なメモリ量、境界などを知ることができます。ハッシュ関数の部分は難しくありません。ハッシュキーをメモリアドレスにマッピングしたり、衝突を管理したりすることができます。しかし、これを最初からすべて書き込むことはリソースを消費す