fpga

    0

    1答えて

    ソフトウェアでエミュレートしたいだけのコンパイル済み(バイナリ)ビットストリームファイルがあります。 Linux、Mac、Windows ..何かが行きます。おそらく長い時間がかかることはわかっていますが、どれくらい時間がかかりますか本当に気にしません。とにかく、私は実際のFPGAにコードをロードして実行したくありません。 この目標を達成するために使用できるソフトウェアはありますか?

    -1

    2答えて

    私は、アルテラの(現在はインテルの)Quartus Prime開発用ソフトウェアとDE0_Nano Cyclone IV開発ボードを使ってVerilogを学んでいます。私はVerilogモジュールレベルで非常に優れていると思われるCharles Rothらの "Digital Systems Design Using Verilog"という本を持っています。私はオンラインのチュートリアルのいくつか

    0

    1答えて

    暗号アプリケーション用のIVとして使用するために、FPGAで乱数を生成する方法を探しています。しかし、これまでStackoverflowで見つかった同様の質問に対する答えは、暗号アプリケーションにはまったく適していない "Fixed SEED"から乱数を生成しています。 Random number generation on Spartan-3E と How to generate pseudo

    1

    2答えて

    この質問に対する標準的な答えは、「拡張ユークリッドアルゴリズムを使用する」ですが、除算と乗算の演算を利用しています。これは、FPGA上で非常に大きな数値を実装する苦労です。私はRSAキー生成でそれを使用したいと思います。

    -1

    1答えて

    私はFPGAを初めて使用しています。 mcuでは、コードはハードウェア固有のものでなければなりません。私はarduinoでラズベリーpiコードを使用できません。しかし、FPGAチップはVerilogまたはVHDLコードを見て、私たちが設計した回路を作成するので、十分なゲートソースがあれば、同じvhdlまたはVerilogコードを異なるFPGAボードで使用することができます(クロックスピードまたはピ

    0

    1答えて

    次のコードでは、cipher_temp2を整数値1に初期化しました。しかし、私のコードをシミュレートすると、cipher_temp2の値は0の代わりに0に初期化されています。私はどこが間違っているのか理解できません。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ciphercalculation is Port (Msg_te

    0

    2答えて

    Basys3 FPGAボードのUSBポートからデータを出力または入力できるVHDLコードを設定/書き込みしようとしています。問題は、私はまだこのトピックについて話しているスレッドや質問を見つけていないということです。 私が見つけた答えに最も近いものはこれです: Provide input data to FPGA using USB 、それは私が探しているものを含んでいません。 手掛かりはあります