digital-logic

    1

    1答えて

    私はブースの乗算アルゴリズムについて混乱しています。 A(7ビット)とB(7ビット)の2つの2進数を掛けたいとします。 A = 00101011(被乗数) B = 00001100(乗算器) 初期製品= 00000000000000は現在次のステップで、アルゴリズムに従って、我々は製品(14ビット)を作る=積+乗数( + LSB位置に余分なビット(0)を追加します。上記の場合の :最初の製品、それ

    0

    3答えて

    2進数が符号付き整数か符号なし整数かをI /コンピュータがどのように伝えることができますか? たとえば、2進数1000 0001は、符号付きの場合は-128、符号なしの場合は129と解釈できます。 Cのような言語で符号なし整数を使用する利点の1つは、符号を定義しないことで得られる余分なビットのために大きな整数を使用できることです。しかし、私には、最初のビットが符号を表すのか、それともその数の大きさ

    0

    1答えて

    私のコードに何が問題なのか分かりません。今、En値にレジスタ値を割り当てる方法がわかりません。私は今、デジタル回路のコースにVerilogコードを使用する必要があります。私は少しそれにうんざりしています。 `timescale 1ns/1ps /////////////////////////////////////////////////////////////////////////////

    1

    1答えて

    私はこのコードに問題があります。状態S0は、想定されていなくても、常にアクティブであるようです。この状態の出力は反転しているように見えます(無効にする必要がある場合はアクティブです)。何か案は?最下部のシミュレーションを印刷します。おかげ library IEEE; use IEEE.STD_LOGIC_1164.all; entity ControlUnit is port(cl

    1

    2答えて

    私が使用している参考文献では、通常、2または3入力の論理ゲートを参照しています。しばらくの間に4入力ゲートが来ます。しかし、論理ゲートが理論的に持つことができる入力数には一定の制限がありますか?実際の練習では、入力数が増えるにつれ複雑さが増すため、ある種の制限があると私は推測しています。しかし、ちょうど仮説的に、私たちは4に制限されていますか(これは私がこれまでにテキストで見た最大のものですから)

    0

    1答えて

    これまでのところ、このコードは2ビットコンパレータ用です。 module twobitcomparator(xgtyin,xety,xltyin,x1,x0,y1,y0,xgty,xety,xlty); //I/O output xgty, xety, xlty; //xgty - x>y, xlty - x<y, xety - x=y input x1, x0, y1, y0, xgtyi

    -1

    1答えて

    にコードを使用して2プライオリティエンコーダは以下の通りです: entity encoder_case is port( din : in STD_LOGIC_VECTOR(3 downto 0); dout : out STD_LOGIC_VECTOR(1 downto 0) ); end encoder_case; architecture encoder_

    1

    1答えて

    私は過去の論文で最小積和として設計し、NANDゲートのみを使用して4ビットのバイナリ入力を受け取り、ここで wx|yz|00 01 11 10 _____|___________ 00 |0 0 1 0 01 |1 1 1 0 11 |1 1 1 0 10 |0 0 0 1 (a) wx|yz|00 01 11 10 _____|___________ 00 |0 0 1 1

    1

    1答えて

    これは2つのモータを交互に切り替える必要のあるブリッジアプリケーションです。したがって、最初の昇降ブリッジサイクルでモーター1を使用する場合は、2番目のブリッジサイクルにモーター2を使用する必要があります。ブリッジが完全に装着されると、2つのモータを切り替えるために使用する必要があるデジタル信号が送信されます。あなたは1つの入力が必要なのでTフリップフロップを使うことができます。これをラダーロジッ

    0

    2答えて

    プライオリティエンコーダ用のVerilogコードを作成しましたが、このコードはシミュレーション目的では問題ありませんか?合成目的のコードは何ですか?あなたの意見や考えをあきらめてください。 module pri_encoder(y,i,enable); output reg [3:0]y; input [15:0]i; input enable; [email protected](i