vhdl

    1

    1答えて

    私はこのコードに問題があります。状態S0は、想定されていなくても、常にアクティブであるようです。この状態の出力は反転しているように見えます(無効にする必要がある場合はアクティブです)。何か案は?最下部のシミュレーションを印刷します。おかげ library IEEE; use IEEE.STD_LOGIC_1164.all; entity ControlUnit is port(cl

    3

    1答えて

    私はVHDLを初めて使用しています。多くのチュートリアルを読み終えたら、私は足を濡らしています。ここでは、私が困っているコード例です。 trade_cellエンティティは、絶対値を取得した後に変数abs_nに割り当てられた符号付きの信号nを受け取ります。その結果は、出力のための信号量に割り当てられる。 これをシミュレートするたびに、量はXに設定されます。 ここでは何が欠けていますか?優しいに関して

    1

    1答えて

    4ビットアップ/ダウンモジュロ10カウンタを作成しようとしています。 Button1 - カウントアップ、Button2 - カウントダウン。私はrising_edgeコマンドを使用してそれをしようとしているが、私はボタンで押されたと定義することはできません2つの信号。ですから、プログラムの次のバージョンではif文を使ってボタンを検出したいと思います。 library IEEE; use IEE

    0

    1答えて

    VHDLをテストするときに少し問題があります。 私は、コードを実行するためにFPGA Baysis 2を使用していますが、ハードウェア上ではうまく機能していますが、私のコードをシミュレートするためにIsimプログラムを使用すると、手紙U. 私はインターネットを見ていて解決策を見つけることができませんでした。 ここでは、私のコードの最後の部分(恐らく何かが間違っている部分)に続きます。これまでのコー

    0

    2答えて

    Quartus2V13.0SP1 DE1board VHDL 私は大学の学生です。 教授は、「CLOCKと「イベント」を使用しないでください。 昨日私は7セグメントLEDで逆オンオフを行っています。 今日この質問は多く編集されました。 以下のコードは正しい動作です。 そして、私は「イベント」なしでCode2でやりたいと思います。 --Code1 library IEEE; use ieee.s

    0

    1答えて

    私は、(FPGA上で)16ビットのデジタル信号を読み込み、何らかの処理を行い、16ビットの処理済み信号を書き出すために使用されるいくつかのVHDLコードに取り組んでいます。現在のところ、10クロックサイクルごとに入力を読み込み、次のクロックサイクルで何らかの処理を行うように設定されています(現在は何もせず、入力を出力するだけです)。残りの8クロックサイクルでカウンタを増分します。 処理が完了するま

    7

    2答えて

    私は自分のプロジェクト用のSphinxのドキュメントを作成しており、ソースファイル用のドキュメント文字列を抽出して最終的なドキュメントに埋め込んでいます。残念ながら、ソースファイルの言語(VHDL)はSphinxではサポートされていません。 VHDL用のSphinxドメインは存在しないようです。スフィンクスに フックが(各ソースファイルから最上位の複数行をテキストブロックを抽出 Pythonのコー

    0

    1答えて

    VHDLに変更されたMIPS命令セットを使用して32ビットCPUを作成しようとしています。私は現在、プログラムカウンタがジャンプ値に等しくなるようなジャンプ命令でない限り、次の命令に対して1だけインクリメントするようにプログラムカウンタを取得しようとしています。 entity PC is Port (PC_IN : in STD_LOGIC_VECTOR (9 downto 0); --New

    2

    1答えて

    私は古典的なHD44780のLCDにインターフェイスしようとしています。 私はディスプレイに表示したいデータを書き込むローカルRAMを実装しました。 私はこのようにラムを定義している: type ram_type is array (0 to (16*2)-1) of std_logic_vector(7 downto 0); signal lcd_mem : ram_type; 私はこの方

    1

    1答えて

    現在、変更された32ビットMIPS CPUをVHDLで作成しています。私はこのコードを使用するために書かれた16の場所を持つ32ビット幅のレジスタバンクを持っています:register_content(to_integer(unsigned(write_address(3 downto 0)))) <= ALU_Result;ここで、register_contentは配列であり、書き込みアドレスは