icarus

    0

    1答えて

    私はiverilogの新機能で、100Mhzのクロック周波数をより大きなプロジェクトの一環として扱いやすくするためにカウンタを作成しています。 これを行うコードがいくつか見つかりましたので、そのためにテストベンチを作成しようとしました。ここ は、私が見つけたコードです。ここで module slowClock_tb(clk, reset, clk_1Hz); input clk;

    0

    1答えて

    私のモジュールの出力ポートで予期せぬ高インピーダンス状態の問題が発生しました。他のモジュールをインスタンス化し、モジュールのコードは以下の通りです: `timescale 1ns/1ps module column( input wire clk, input wire reset, input wire trigger, input wire [7:0]

    6

    2答えて

    Testdriven.netのようなVS統合ツールを使用する場合と、IcarusやNUnit GUIのようなGUIテストランナーを使用する場合の違いは何ですか? 好きな理由とその理由は何ですか? これまでのところ、イカルスではコマンドライン出力しかないtd.netよりレポートが優れていることがわかりました。 しかし、td.netを使用する方が高速です。最初に残りの部分をオフにすることなく、簡単に単

    -2

    1答えて

    私はMacでiverilogを使用していますが、always_ffとalways_combブロックを含むコードをコンパイルする際に問題があります。 ModelSimはこれらのコードを問題なくコンパイルします。 iverilogをalways_ffブロックとalways_combブロックをサポートするように設定することは可能ですか、それともコンパイラによってサポートされていないのですか? ありがとう

    0

    2答えて

    注:私にこれよりも良い場所がある場合は、お知らせください!私は広範囲にグーグルで答えを見つけることができません 私は波形ビューアscansionを使用して、単純なカウンタ/ sin LUTの出力を表示しようとしています。私はicarus Verilogを使ってコンパイルしています。これまでのところ、私は私が「文書 『sinGen_TB』は開くことができませんでした。Scansionができないという

    0

    1答えて

    私は基本的な `include" filename.v "コマンドを知っています。しかし、別のフォルダにあるモジュールを追加しようとしています。現在、そのモジュールは、同じフォルダに存在する他のモジュールをさらに含みます。しかし、最上位レベルでモジュールを実行しようとすると、エラーが発生します。 ​​ ここでは、ファイル "mips.v"に含まれているMIPSプロセッサを作成しようとしています。こ