2017-04-24 30 views
-2

私はMacでiverilogを使用していますが、always_ffとalways_combブロックを含むコードをコンパイルする際に問題があります。 ModelSimはこれらのコードを問題なくコンパイルします。 iverilogをalways_ffブロックとalways_combブロックをサポートするように設定することは可能ですか、それともコンパイラによってサポートされていないのですか?ibus(iverilogコンパイラ)のSystemVerilogのサポート

ありがとうございます!

+0

http://iverilog.icarus.comといいます。これは、IEEE Std 1364-2005(always_ffとalways_combを含まない)をサポートしようとします。 – toolic

+0

MacでSystemVerilogをコンパイルできる他の方法はありますか?または、私はVM(またはネイティブウィンドウ?)についていなければなりません –

答えて

2

always_comb,always_latchおよびalways_ffは、SystemVerilog IEEE Std 1800-2012で導入されたキーワードの一部です。これらはIcarus VerilogコンパイラがサポートしているVerilog IEEE Std 1364-2005の一部ではありません。

無料のSystemVerilogシミュレータは認識していません。しかし、EDA Playgroundを使用して、SystemVerilogデザインをシミュレーションし合成することはいつでも可能です。

+0

無料のSystemVerilogシミュレータはWindowsプラットフォームでのみサポートされており、それらは完全な言語をサポートしていません。 –

+0

@ dave_59:Altera Modelsim Starter Editionは、WindowsとLinuxの両方でサポートされていると思います。しかし、MacOSについてはわからない。 – AndresM

+0

Verilatorは、SystemVerilogの合成可能なサブセットをサポートするオープンソースシミュレータです:https://www.veripool.org/wiki/verilator – JeffB

関連する問題