2016-07-11 17 views
0

注:私にこれよりも良い場所がある場合は、お知らせください!私は広範囲にグーグルで答えを見つけることができませんscanningを使用した波形の表示

私は波形ビューアscansionを使用して、単純なカウンタ/ sin LUTの出力を表示しようとしています。私はicarus Verilogを使ってコンパイルしています。これまでのところ、私は私が「文書 『sinGen_TB』は開くことができませんでした。Scansionができないというエラーを取得しています、コマンドラインで、その後VVP sinGen_TB

iverilog -o sinGen_TB sinGenerator_TBを実行しましたこのタイプのファイルを開く。 "私はsinGen_TB.vvpまたはsinGen_TB.vcdとしてファイルを保存するとき

また、私はを取得する「文書 『sinGen_TB.vvpは』開くことができませんでした。Scansion開くことができませんファイルの中に 『ドキュメント』形式。」

これはどういう意味ですか、これで私はこの波形を見ることができますか?私はインスタンス化してるモジュールも必要とされている場合はここ

は、私がコンパイルしてるのコードである私に知らせてください:

`include "sinGenerator" 

module sinGenerator_TB(); 
reg clk, rst; 
reg [0:3]M; 
wire [16:0]data_out; 

//instantiate the unit under test 
sin_LUT UUT(
    .clk(clk), 
    .rst(rst), 
    .M(M), 
    .data_out(data_out) 
); 

//initialize clock 
always begin 
#5 clk = ~clk; 
end 

//initialize variables 
initial begin 
rst = 1; 
M = 1; 
#20 rst = 0; 
#200 M = 2; 
#200 M = 4'b0100; 
#200 $stop; 
end 

endmodule 

答えて

0

Verilogファイルは通常、ファイル拡張子として.vを使用します。 SystemVerilogは .svを使用します。ファイル拡張子を使用してください。これは、シミュレータがコンパイルしようとしている言語を知るのに役立ちます(すべての最新のVerilogシミュレータは、下位互換性を持つSystemVerilogシミュレータです)。 vimやemacsなどのプラステキストエディタでは、ファイルの拡張子を使用して、構文の強調表示/書式設定の方法を決定します。

シミュレータは.vcdファイルを生成する必要があります。 Scansionは、波形を表示する単なるツールです。それは波形の生成とは無関係で、質問とは関係がないようです。

シミュレータがVCDファイルを作成する場所を知るためには、$dumpfileが必要です。どの信号をVCDファイルに入れるかを知るには、$dumpvarsが必要です。

initial begin 
    $dumpfile("dump.vcd"); 
    $dumpvars; 
end 
:あなたはすべてをダンプしdump.vcdに入れ、その後、あなたのテストベンチにこれを追加したい場合たとえば

をファイルIEEE Std 1800-2012 § 21.7 値変更ダンプ(VCD)を読みます。

0

iverilog -o sinGen_TB sinGenerator_TBのみ最終的な実行可能sinGen_TBを生成します。

Iicarusシミュレータの実行方法に関する詳細は、man iverilogを参照してください。

実行ファイルを実行する必要があります - vvp sinGen_TB。これによりシミュレーションが実行され、波形ビューアで開くことができる出力ファイルが生成されます。

あなたはまた、波形をダンプするには、以下のコードを追加する必要が

[私は./sinGen_TBを推測もシミュレーションを実行します]。

initial 
begin 
    $dumpfile("sinGen_TB.vcd"); //file name 
    $dumpvars(0,sinGenerator_TB); // module name 
end 
関連する問題