2016-05-18 173 views
0

トップレベル・ファイル(VHDL)とアルテラ固有のPLLを使用してプロジェクト(Quartus)をコンパイルした後、ModelSimでシミュレートを試みました。ModelSimでシミュレーション用にaltera libを追加する方法は?

RTLシミュレーションを開始すると、(ライブラリ・ウィンドウの)フォルダworkにトップレベル・ファイルが表示されますが、PLL(Verilogファイル)のアルテラ・インスタンスは表示されません。

質問: どうすればセットアップのQuartusまたはModelSimの私の最上位ファイル PLLのためのアルテラのインスタンスを参照するためには?

+0

あなたの使用のためにアクセス可能なインバンド・ハードコアIPは使用できません。 –

+0

Quartusに付属のModelSim(アルテラ・エディション)を使用していますか?すでにすべてのアルテラ・ベンダー・ライブラリが含まれているはずです。 QuestaSimのような外部シミュレータを使用している場合は、Quartusのメイン・メニューからツール(アルファベット・ベンダー・ライブラリ)をコンパイルできます(ツール=>起動シミュレーション・ライブラリ・コンパイラ) – Paebbels

答えて

2

PLLなどのアルテラ固有のモジュールは、アルテラ固有のブロック用のパッケージおよびエンティティを含む多数のプリコンパイル・ライブラリを含むModelSimアルテラ・エディション(アルテラQuar5usで利用可能)を使用してシミュレーションするのが最も簡単です。このModelSimアルテラ・エディションをまだ使用していない場合。アルテラ・ライブラリは、下記のModelSimアルテラ・スタータ・エディション(無料)でご覧いただけます。

enter image description here

次に、あなたは単に、アルテラのQuartus含め、ライブラリにすべてのモジュールをコンパイルラッパーはその後、PLLのシミュレーションモデルで利用可能なアルテラのライブラリへの参照を持っている必要があり、PLL用のラッパーを生成。

これが機能しない場合は、問題を示してここに投稿できるコードにコードを減らしてください。 Minimal, Complete, and Verifiable exampleを参照してください。

0

あなたはどのvhld/Verilogソースコードのと同じようジャストコンパイル:

vcom *.vhd 
vlog *.v 
vlog -sv *.sv 

をシミュレーションモデルは、Quartusをインストールしてご利用いただけます。

vlib work; 
vcom -work work QUARTUS_DIR/eda/sim_lib/altera_mf_components.vhd 
vcom -work work QUARTUS_DIR/eda/sim_lib/altera_mf.vhd 

をあなたはほとんどの場合、コンパイルの順序に注意を払う必要があると思います:あなたは、Quartusは、あなたがしたいいくつかのVHDLシミュレーションモデルをコンパイルするために、例えばQUARTUS_DIRにインストールされていると仮定。 アルテラ・モデルのシミュレーション・ライブラリを作成してマップするだけで、プロジェクトごとに同じコードをコンパイルする必要がなくなります。

関連する問題