2016-10-31 15 views
1

私の質問を読んでくれてありがとう。Win7のModelSimの "<proj> .ip_user_files"でVivado IP生成ライブラリをコンパイルしてください

<proj>/ip_user_files/ip/c_counter_binary/c_counter_binary_0.veo 
             /c_counter_binary_0.vho 
             /c_counter_binary_0_stub.v 
             /c_counter_binary_0_stub.vhdl 

<proj>/ip_user_files/ipstatic/c_addsub_v12_0_9/hdl/c_addsub_v12_0.vhd 
                /c_addsub_v12_0_vh_rfs.vhd 
          /...(and a few more) 

<proj>/ip_user_files/sim_scripts/c_counter_binary_0/modelsim/compile.do 
                  /c_counter_binary_0.sh 
                  /simulate.do 
                  /wave.do 

(I think the rest is irrelevant for my question) 

I:

私はVivadoで "IPカタログ"(2016.2)を持つコンポーネントを生成する場合には、Vivadoは私のプロジェクトでは、以下のフォルダ(複数可)を作成します(私は、この例のカウンターを生成しました) ModelSimのカウンタをシミュレートします。だから私はModelSimのために必要なシミュレーションライブラリを生成するために "c_counter_binary_0.sh"を実行しなければならないと思います。

Windows 7を使用してこれらのライブラリをコンパイルするにはどうすればよいですか?

私は数日後にModelSimのカウンタをシミュレートしようとしていますが、次に試すことはできません。

これは一般的なことだと思いますが、私はなぜドキュメントを見つけることができなかったのか理解できません。私が試した


もの:


ModelSimのトランスクリプトコンソールで実行c_counter_binary_0.sh:ModelSimのトランスクリプトコンソールで

do c_counter_binary_0.sh 
# ** Error: invalid command name "#!/bin/bash" 

実行ファイルcompile.do :

do compile.do 
# ** Warning: (vlib-34) Library already exists at "work". 
# 
# Model Technology ModelSim PE vmap 10.4a Lib Mapping Utility 2015.03 Apr 7 2015 
# vmap -modelsim_quiet xbip_utils_v3_0_6 msim/xbip_utils_v3_0_6 
# Modifying C:/Xilinx/wkdir/lab_counter/lab_counter.ip_user_files/sim_scripts/c_counter_binary_0/modelsim/lab_counter.mpf 
# Model Technology ModelSim PE vmap 10.4a Lib Mapping Utility 2015.03 Apr 7 2015 
# vmap -modelsim_quiet c_reg_fd_v12_0_2 msim/c_reg_fd_v12_0_2 
# Modifying C:/Xilinx/wkdir/lab_counter/lab_counter.ip_user_files/sim_scripts/c_counter_binary_0/modelsim/lab_counter.mpf 

(...and so on...) 

# Model Technology ModelSim PE Student Edition vcom 10.4a Compiler 2015.03 Apr 7 2015 
# Start time: 00:59:17 on Oct 31,2016 
# vcom -reportprogress 300 -work xbip_utils_v3_0_6 -64 -93 ../../../ipstatic/xbip_utils_v3_0_6/hdl/xbip_utils_v3_0_vh_rfs.vhd 
# -- Loading package STANDARD 
# End time: 00:59:18 on Oct 31,2016, Elapsed time: 0:00:01 
# Errors: 0, Warnings: 1 
# ** Warning: (vcom-159) Mode option -64 is not supported in this context and will be ignored. 
# 

(...and so on...all with no error...) 

# 
# Model Technology ModelSim PE Student Edition vcom 10.4a Compiler 2015.03 Apr 7 2015 
# Start time: 00:59:28 on Oct 31,2016 
# vcom -reportprogress 300 -work xil_defaultlib -64 -93 ../../../../lab_counter.srcs/sources_1/ip/c_counter_binary_0/sim/c_counter_binary_0.vhd 
# -- Loading package STANDARD 
# -- Loading package TEXTIO 
# -- Loading package std_logic_1164 
# -- Loading package NUMERIC_STD 
# -- Compiling entity c_counter_binary_0 
# -- Compiling architecture c_counter_binary_0_arch of c_counter_binary_0 
# End time: 00:59:29 on Oct 31,2016, Elapsed time: 0:00:01 
# Errors: 0, Warnings: 1 

しかし、私は除いて、空のlibrarysで終わる:

xil_defaultlib - > [エンティティ] c_counter_binary_0 - > [アーキテクチャ] c_counter_binary_0_arch

私は、生成Librarysとシミュレーションを実行しようとした場合:

vsim work.top_tb 
# vsim 
# Start time: 01:08:10 on Oct 31,2016 
# Loading std.standard 
# Loading std.textio(body) 
# Loading ieee.std_logic_1164(body) 
# Loading work.top_tb(tb) 
# Loading work.top(rtl) 
# Loading ieee.numeric_std(body) 
# Loading work.c_counter_binary_0(c_counter_binary_0_arch) 
# Loading ieee.std_logic_arith(body) 
# Loading ieee.std_logic_unsigned(body) 
# Loading unisim.muxcy(muxcy_v) 
# Loading unisim.xorcy(xorcy_v) 
# ** Fatal: Attempting to load -nodebug design unit. 
# Nodebug designs are not supported. 
# 
# Time: 0 ns Iteration: 0 Instance: /top_tb File: C:/Xilinx/wkdir/lab_counter/lab_counter.srcs/sim_1/imports/vhdl/tb_top.vhd Line: UNKNOWN 
# FATAL ERROR while loading design 
# Error loading design 

Cygwinをインストールしてc_counter_binary_0.sh

を実行します

答えて

0

学生のバージョンは、単に保護されたデバイスをロードすることはできないようなので... FPGAは、マイライブラリのマッピングなどを見ていたし、すべてがOKだったに実際にある鉱山の

友人...ルックス

... 
# Loading unisim.xorcy(xorcy_v) 
# ** Warning: (vsim-8684) No drivers exist on out port /top_tb 
/DUT/c_counter_binary_0_1/U0/<protected>/<protected>/<protected> 
/<protected>, and its initial value is not used. 
# 
... 
:フルライセンスキーを使用して

... 
# Loading unisim.xorcy(xorcy_v) 
# ** Fatal: Attempting to load -nodebug design unit. 
# Nodebug designs are not supported. 
# 
... 

はModelSim:彼は完全なModelSimのライセンスを持つデザイン...

のModelSim PEの学生版をテストしました

関連する問題