2017-04-15 16 views
-2
上のトップレベルのVHDL
library IEEE; 
use IEEE.STD_LOGIC_1164.ALL; 
use IEEE.STD_LOGIC_ARITH.ALL; 
use IEEE.STD_LOGIC_UNSIGNED.ALL; 
entity TopLevel is 
Port (reset : in std_logic; 
clock : in std_logic; 
coin : in std_logic; 
push : in std_logic; 
count1 : out std_logic_vector(15 downto 0) 

); 
end TopLevel; 
architecture Modular of TurnstileDetector is 
signal unlock : std_logic; 
begin 
controller: entity TurnstileDetectorController 
Port map (reset => reset, 
clock => clock, 
coin => coin, 
push => push, 
unlock => unlock 
); 
counter: entity work.counter 
Port map (reset => reset, 
clock => clock, 
cen => unlock, 
q => count1 
); 
end architecture Modular; 

エラー: **エラー:(VCOM-11)がwork.turnstiledetectorを見つけることができませんでした。エラー:ModelSimの

**エラー:C:/Modeltech_pe_edu_10.4a/examples/TopLevel.vhd(14):VHDLコンパイラが

+0

architecture Modular of TurnstileDetector isを変更する必要がありますか? – Bekbol

+2

ようこそStackOverflow。お手伝いをするには、明確な質問をする必要があります。詳細はこちら[こちら](http://stackoverflow.com/help/how-to-ask)をご覧ください。そして、私たちは問題を再現できるように、[最小、完全、および検証可能な例](http://stackoverflow.com/help/mcve)を投稿してください。 – JHBonarius

答えて

1

を出たあなたは、エラーが解決することができますどのようにarchitecture Modular of TopLevel is

関連する問題