2012-03-06 2 views
1

VHDLで8ビットALUを符号なし数値でのみ実装する。加算の結果が(1)00000000、1が実行である場合、ALUのゼロフラグを1に設定する必要がありますか?あるいは、結果は0とは違うと考えられますか?ALUはどのように機能しますか?

+0

次のフリースケールの68S12マイクロコントローラリファレンスマニュアルは、ALUを設計する際に役立ちます。なぜなら、各命令のCCRフラグのそれぞれを決定する論理演算をドキュメント化しているからです。 [CPU12リファレンスマニュアル](http://cache.freescale.com/files/microcontrollers/doc/ref_manual/S12XCPUV2.pdf?fsrch=1&WT_TYPE=Reference%20Manuals&WT_VENDOR=FREESCALE&WT_FILE_FORMAT=pdf&WT_ASSET=Documentation&sr=1) – mattgately

答えて

0

(ビューの定期的なCPU点からの回答... - すなわちhttp://z80-heaven.wikidot.com/flags-and-bit-level-instructions

私はif (result)は、このような操作の後false枝を選んだことを期待したいです。だからゼロフラグはキャリーフラグと共に設定されるべきだと感じます。

1

ほとんどのCPUでは、この状況ではゼロフラグが設定されると思います。ゼロフラグはこの意味でキャリーフラグとは独立しています。プログラマは、整数演算を扱う際にオーバーフローをチェックし、適切に処理する必要があります。

関連する問題