VHDLで一般的なNビットALUを作成しています。加算のために桁上げの値を代入すること、または減算のために借りることができません。私は、次のことを試してみました: library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity alu is
generic(n: integer :=1); -
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_bit.all;
use ieee.numeric_std.all;
entity multiplexer is
port (
A,
私のaluコードにエラー/オーバーフローがある場合、ワイヤがオンかどうかをテストしようとしています。このコードを考える: output reg[3:0]x; // line 149
output wire error;
output wire overflow;
always @* begin
if(error || overflow) begin
as
muxを使用せずにHack ALUを実装しようとしていますが、hdlをシミュレータにアップロードできません。どんな助けもありがとう。割り当ての双方が同じ幅を持っていないので、B [0..15] = notzxなどのおかげ CHIP ALU {
IN
x[16], y[16], // 16-bit inputs
zx, // zero the x input?
pic16f684のVHDLで算術論理ユニットを作る必要があります。 したがって、ALUの命令は、pic16f684のデータシートに記載されています。 私が作る必要がある命令は次のとおりです。 These are the instructions をSTD_LOGIC_VECTORが文字を持つことができないというエラー、かなりロジックである、これはこれまでのところ私のコードですが、私は得ますベクト