2016-09-13 15 views
0

regおよびlogicの固定小数点数をサポートしていないため、systemverilog内の固定小数点数をどのように表現する必要がありますか?実際のデータ型を正しい方法で使用していますか? 私はsystemverilogで平方根関数を実行しようとしています。結果はFPで表されます。例えばsqrt(8)= 2.82です。 私の入力と出力のデータ型(sqrt)は、検証中に小数点の場所を正しくチェックできるようにする必要があります。システムレベルでの固定小数点数の表現

+1

このコードを合成可能にしますか? – Hida

+0

はい、合成可能である必要があります –

答えて

3

固定小数点数に整数型を使用します。異なるサイズの数字を追加するだけでなく、乗算、除算のために調整するときに一部の人々は、インデックス自分

logic [M-1:-F] fp_number; // M-bits integer, F bit fractional 

ような変数しかし、それはあなた次第ですが、小数点を調整します。これらの操作の多くを持つOpenCoreライブラリがいくつかあります。