このプログラムは、7セグメントLEDが有限の状態マシンで、5にカウントされます。アクティブハイの代わりにアクティブローを使用する必要がありますが、これを行う方法はわかりません。また、テストベンチも含まれています。私はそれが時計のためのalwaysステートメントを使用する方が良いだろうが、後でそれを処理することができます知っている。 `timescale 1ns/1ps
//inputs, ou
私のPRNジェネレータは動作していません。私は線形フィードバックシフトレジスタでそれをやりたい シミュレーションとコンパイルは問題なく動作しますが、出力が間違っています(lfsr_out = '0')、変更されません。 コード: library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ie
(編集)私はVerilog算術プロジェクトで作業していますが、これは問題であると仮定して符号拡張部に固執しました。私は4ビット入力A、Bを持っていて、8ビット出力を持っているはずです。いくつかのプロセス(合計、サブ...)私は8ビット出力を作るために符号拡張を使用する必要があります。演算の本体のために、私はこのコードを持っています。これはコードの半分です。それだけで長いですcuzを私は.. mod