これは私のエレクトロニクス学位のVHDLを勉強しており、1つのシーケンスの各クロックパルスでLEDシーケンスを変更するプログラムを作成する必要があります。私はそれをクラックしたと思うが、これはVHDL言語を使用する私の初めてのので、私は最も効率的な方法を使用していないかわからない。私のコードはです。 library ieee;
use ieee.std_logic_1164.all;
use
1つのシーケンスの各クロックパルスでLEDシーケンスを変更するプログラムを作成する必要があります。 library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity REG_LED is
PORT(CLK: IN std_logic
フレックスセンサーが曲がると、LEDストリップが徐々に点灯するようにしたい。しかし、私は、フレックスセンサーが45度のときに、LEDストリップの点灯を開始したい。 そして、私はLEDストリップが45度より前に消えたい。 ここはArduinoにある私のコードです。 const int ledPin = 3; //pin 3 has PWM funtion
const int flexPin = A