led

    0

    1答えて

    これは私のエレクトロニクス学位のVHDLを勉強しており、1つのシーケンスの各クロックパルスでLEDシーケンスを変更するプログラムを作成する必要があります。私はそれをクラックしたと思うが、これはVHDL言語を使用する私の初めてのので、私は最も効率的な方法を使用していないかわからない。私のコードはです。 library ieee; use ieee.std_logic_1164.all; use

    0

    1答えて

    1つのシーケンスの各クロックパルスでLEDシーケンスを変更するプログラムを作成する必要があります。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity REG_LED is PORT(CLK: IN std_logic

    1

    2答えて

    コードを壊してしまい、txtファイルの日付と色でLEDが点灯します。日付が正しい場合は、LEDが点灯しますが、正しい時間が経過するとLEDが消えませんが、次の日付まではまだ光っています。 なぜ、ledsがオフにならないのか、問題はどこですか?助けてください、私はほとんどすべてを試しました。 import sys import time import datetime import RPi.G

    1

    1答えて

    私は0〜255の値を出力するポテンショメータを使用しています。私がそれをしたいのは、RGBの色を変えて、LEDの色をすべて正確に表示する256ステップを含む方法です。を取得します。 質問: 私はLEDに適用できるrgbコードにその単一の値(0-255)をどのように変換しますか? 最も明白な解決策は、すべての256の可能な値を持つ辞書を作成し、それらの値に手動でRGBコードを割り当てることです。私は

    1

    1答えて

    クロック入力と出力が5つのLEDシーケンスをとるVHDLシーケンスを作成する必要がありますsee picture std_logic_vectorを使用すると、各ベクトル出力を1つのLEDに接続できますこのシーケンスを作成するために注文するか、std_logic_vectorの使用を解釈しないのですか? 私が使用しているコードは、私は、波形シミュレートしている library ieee; use

    1

    1答えて

    LEDがONになる前に20秒遅れる単純なVHDLコードを作りたいと思います。私はシグナルカウンターを20秒の遅延を作るために使用しましたが、私は非常に奇妙なことに気がつきました。もし私がLEDが遅れる前にOFFであると宣言していなければ、LEDは常にONになります。 2つのコード(クロックが50MHzの場合)で 外観:このコードで LEDが常にオンです。 library ieee; use ie

    -1

    2答えて

    私はATTiny85を使用してLEDの束を制御しようとしています。 LEDは約2Khzで動作する必要があります(これは、撮影時にちらつくことがないようにするためです)。 PWM信号の周波数をどのように変更できますか?

    0

    1答えて

    タッチスクリーンを使用できるラズベリーパイのためのkivyアプリを作りたいと思います。私は単に "python〜/ kivy/examples/demo/showcase/main.py"を使ってデモをタッチスクリーンで操作することができました。この問題は、「sudo python main.py」でアプリを起動する必要があるときに発生し、タッチスクリーンが機能しなくなります。 私が書いようとして

    2

    1答えて

    フレックスセンサーが曲がると、LEDストリップが徐々に点灯するようにしたい。しかし、私は、フレックスセンサーが45度のときに、LEDストリップの点灯を開始したい。 そして、私はLEDストリップが45度より前に消えたい。 ここはArduinoにある私のコードです。 const int ledPin = 3; //pin 3 has PWM funtion const int flexPin = A

    -4

    1答えて

    実際に私はArduino Neopixelのファンであり、球形POVを実行しようとしました。 POVを実行するには、各イメージを各回転で使用される行数に変換する必要があります。私はplannerを変換すると思います。POVは、ピクセルベースの行をjavaベースで処理するために難しくはありません。球形のイメージをイメージラインに変換しました。私は本当にどんなコメントでもお礼を言います。