2016-05-02 9 views

答えて

2

信号が4ビットの倍数である場合、Xを使用して16進数を示すことができます。あなたの信号が混合物である場合

signal d : std_logic_vector(15 downto 0) := X"1234"; 

することは、あなたが例えば、連結演算子を使用することができます。、0x12345に18ビットを設定するには、あなたが使用することができますVHDL-2008を使用して

signal d : std_logic_vector(17 downto 0) := "01" & X"2345"; 

を使用することができます幅指定子

signal d : std_logic_vector(17 downto 0) := 18X"12345"; 

はまた、16進形式STD_LOGIC_VECTORに16#12345#を整数値に変換するために、例えば、変換関数を使用することができます。

+0

ご迷惑をおかけしますが、バイナリ入力が12ビットで、いつでも変更できる場合は、 ""で使用することはできません。私はどうやってやるべきか –

関連する問題