私は正式に動作を確認するために、SVAでプロパティを書きたいです。ここでSystem Verilogアサーションでプロパティを書き込む方法は?
は、私が欲しいものです:
property prop1(sig1,sig2,sig3,sig4);
@(posedge clk)
$fell(sig1) ##[1:$] first_match($fell(sig2)) ##0 sig3 |-> sig4 == sig3;
endproperty
SIG1が落ちた後、それが残りの評価サイクルの間LOW留まるようにどのように私は、上記のプロパティを書き換えることができますか?
注:私は無効IFF(SIG1)
感謝としてSIG1を入れたくありません!
でシーケンス以上16.9.9条件は_precondition_または_condition_の一部「SIG1が落ちた後、それが残りの評価サイクルの間LOWのまま」となっていますか?言い換えれば、あなたはそのSIG4を確認したいん== SIG3 _if_ SIG1低いままか、そのSIG1を_check_したいですが、低とどまっただけでなく、SIG4 = SIG3をチェックしていますか? –