2016-07-27 1 views
-2

私はModelSimを持っているので、自分のコードでrandomizeを使うことはできません。Verilogでrandomize()を実装する方法は?

トランザクションクラス(uvm_sequence_itemを拡張)にrandomize()を実装する必要があります。どうすればVerilogでそれを行うことができますか?

+0

わかりません。あなたがUVMをすることができれば、なぜあなたはランダム化できませんか? –

+0

ModelSimがこれを行うことができないと思われる理由について詳しく説明できますか? – nguthrie

+1

http://stackoverflow.com/questions/15439710/modelsim-support-for-sv –

答えて

0

$ randomを試すことができます。この関数は、Verilogベースであり、ModelSimで動作する可能性があります。

さらに
q = $random(r_seed); 
or 
q = $random(); //seed is optional 

10から110(範囲)

q = {$random} % (110-10+1) + 10; 

、またはこれらは、基本的なランダム化構築物で

$urandom_range(110,10); 
$urandom(); 

を使用してみてくださいとの間にランダムな値を取得します。これらからあなたの制約条件を導かなければなりません。

関連する問題