2016-06-27 8 views
0

Verilogで大きな整数値を持つパラメータの配列を宣言する必要があります。たとえば、Verilogパラメータで大きな値を割り当てる手順

parameter real PARAM [0:2]= '{6.2e11 , 1e10, 2.5e9}; 

これをVerilog合成ツールで実行すると、さまざまな値が表示されます。値は32ビットを超えているからですか?パラメータに大きな値を割り当てる手順は何ですか?

おかげ

Farhana

答えて

1

合成ツールは、実数では動作しません。

関連する問題