私はDE0 Nano Altera FPGAボードで簡単なブルートフォース畳み込みプロセッサを設定しようとしています。 はここに私のコードは次のようになります。VHDL:forループで、インデックス演算が機能しません
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_bit.all;
ENTITY Convolution IS
PORT( clock : IN std_logic;
audio_in : IN unsigned(15 downto 0);
audio_out : OUT unsigned(31 downto 0));
END Convolution;
ARCHITECTURE Convolution_Core OF Convolution IS
constant impulse_length : integer := 10;
type array16 is array(0 to impulse_length-1) of unsigned(15 downto 0);
type array32 is array(0 to impulse_length-1) of unsigned(31 downto 0);
constant impulse : array16 := (x"FFFF", x"FFFE", x"FFFD", x"FFFC",
x"FFFB", x"FFFA", x"FFF9", x"FFF8",
x"FFF7", x"FFF6");
signal audio_buffer : array16 := (others=> (others=>'0'));
signal seq_buffer : unsigned(31 downto 0);
BEGIN
process(clock)
begin
if rising_edge(clock) then
-- buffer the audio input in audio_buffer
for i in 0 to (impulse_length-2) loop
audio_buffer(i) <= audio_buffer(i+1);
end loop;
audio_buffer(impulse_length-1) <= audio_in;
for i in 0 to (impulse_length-1) loop
if i = 0 then
seq_buffer <= audio_buffer(i) * impulse(impulse_length-1-i);
else
seq_buffer <= seq_buffer + audio_buffer(i) * impulse(impulse_length-1-i);
end if;
end loop;
end if;
end process;
audio_out <= seq_buffer;
END Convolution_Core;
私の問題は、次のとおりです。インパルスのインデックス(impulse_length-1-i)は、forループの連続した時に減少していませんが、audio_bufferのインデックス(i)がありません。それは私がコードをシミュレートし、私の結果が間違っている理由を理解するのが大好きです。
ModelSimで見ることができるように信号にimpulse_length-1-iを入れようとしましたが、最大/最小32ビットの符号付き範囲(+/- 2 147 483 647)と次のサイクルはゼロにジャンプし、ゼロにとどまります。
また、変数jをプロセス内で使用しようとしました。プロセスの開始時にゼロで開始し、iの代わりに配列のインデックスとして使用し、実際の計算後にインクリメントすることができます。しかし、ModelSimは致命的なエラーを報告するようにしていたため、その理由も分かりません。
私が間違ったことを誰かに説明できますか?
Thanx事前に。
も参照してくださいhttp://stackoverflow.com/questions/13954193/is-process-in-vhdl-reentrant/13956532#13956532 –