system-verilog-dpi

    6

    2答えて

    私は、DPIを使用してCソースにインターフェイスされた、Verilogベースのテストベンチを持っています。今はDPIを使用して私は私のファームウェア全体を書くつもりです。私は登録読む 登録が 割り込みハンドラを書く3つの事 を必要とするこれを行うには 私が理解したように、レジスタの読み出しと書き込みは、私はRTLテストベンチからエクスポートする必要があるタスクです。そして割り込みハンドラ(私は '

    0

    2答えて

    DPI-Cを使用して複数のタスクをCプログラムにエクスポートしようとしています。 "my_subm" はインスタンス化され module my_subm; task mytask1(...); ... endtask task mytask2(...); ... endtask endmodule そして、私のメインモジュール "m

    2

    3答えて

    私は2つのCの機能を持っている状況があります。これらのC関数には、exit(1)を使用して回避する多くのエラーチェックシナリオがあります。システムのVerilogコードの100万箇所は、DPI呼び出しによってこれらの2つのC関数を呼び出します。 Cのルーチンでexecinfo.hとbacktrace()関数を使用しましたが、スタックトレース infoはC関数を表示していますが、それ以上のものは主要