ghdl

    1

    1答えて

    このpage(2005年のArnim Luger出典)は、ツールチェーン{GHDL + gcov}がVHDLコードカバレッジを実行できることを説明しています。 質問:今日のGCC、GCOV、GHDLの最新バージョンではまだ動作していますか? 次のコマンドは $ ghdl -a -Wc,-ftest-coverage -Wc,-fprofile-arcs tb_example.vhd ghdl:

    0

    1答えて

    ghdlで自分のパッケージを使用しようとしています。誰かが構造とコンパイルで私を助けることができますか?現時点では私のコードは次のようになります。 [email protected] my_package$ ghdl -a --work=my_package my_package.vhd を、その後./uses_my_packageに次のように私はmy_packageでこれをコンパイルしてい

    0

    1答えて

    私は、すべての着信ビットを探し、受信したビットの総数が1でないかどうかを追跡するプロセスを作成しています。値を参照値に設定します。プロセスは以下の通りです:ここ parity_tester : process(clk, sub_rst, barrel_data_in, barrel_enable, parity_test, parity_ref) variable last_known

    0

    1答えて

    GHDLで新しくなりました。これは私のVHDLコードです:ghdl -s test.vhdでこれをコンパイルしようとすると /* Name: test.vhd Description: Demonstrates basic design in VHDL code. Date: 04. August 2017 AD */ --first part: libraries library

    0

    1答えて

    ghdlコンパイラを使用してvhdlコードをコンパイルしようとしています。をutil.vstring util.misc_conv_pkgと :しかし、私は2つのutilのライブラリをしないのです。 ので、このコードは LIBRARY util; USE util.misc_conv_pkg.ALL; USE util.vstring.ALL; が動作していない私は、私が見

    2

    1答えて

    私はghdlシミュレータが新しく、ウィンドウでghdlを使用しています。私は自分のコンピュータにghdl(ghdl-0.33-win32)をセットアップし、単純な加算器コードを試してみました。私は現在David Bishopの固定小数点パッケージと浮動小数点パッケージを使用した数学方程式をシミュレートする必要があります。私は私にライブラリを含めて、それをコンパイルすると、エラーを与える: "fix

    -3

    1答えて

    ソースコードで編集した特定のファイルの後にGHDLソースコードを再コンパイルする手順を教えてください。 ありがとうございます。 よろしく ラグー

    -1

    1答えて

    vhdlで制約のないベクトルを返す最良の方法は何ですか? function func(selector : natural) return std_logic_vector is begin case selector is when 3 => return std_logic_vector("11"); when 4 => return std_logic_v

    0

    3答えて

    単純なカウンタの実装をテストする場合、GHDLシミュレーションはシミュレーションを終了しません。私の意図は、メインプロセスによって変更された共有変数を使用して、両方の並行プロセスを停止することです。しかし、主なプロセスは時計プロセスを止めることではありません。 マイカウンターの実装は次のとおりです。 entity dff is port( direction, reset, clk, l