2012-05-14 6 views
2

終了キーワードが満たされるまでシミュレーションを継続できる、特別な開始キーワードと終了キーワードがVerilogにありますか?私はこれが合成可能ではないことを理解している。ただし、テスト目的でのみ使用したいと考えています。また、verilogの$ finishキーワードはModelimを終了します。Verilog連続シミュレーション

+2

'$ finish'はそれがどのように行われたかです。 –

答えて

5

$finishおよび$stopは、シミュレーションを停止するためのVerilogシステムタスクです。 $finishはシミュレーションを終了し、$stopはシミュレーションを停止し、対話モードに移行します。したがって、$stopを使用することができます。 $finishでも、ModelSimは通常、終了するかどうか尋ねるので、うまくいくはずです。シミュレーションモードを継続するには「いいえ」を選択し、「はい」を選択するとModelSimを終了します。

関連する問題