2011-01-24 15 views
0

ghdlをUbuntu 10.10(apt-getをリポジトリから使用)にインストールし、ghdlマニュアルのhello_worldサンプルを使用しました。私は(-a)と(-e)を分析(-e)することはできますが、実行/実行しようとすると次のエラーが発生します。
/usr/lib/ghdl/bin/ghdl:コンパイルエラーghdlを使用してvhdlコードを実行/実行できません

私は成功せず、あまりにもが、ルートとして働いて試してみました

$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: Permission denied
$ ghdl -r hello_world
/usr/lib/ghdl/bin/ghdl: compilation error

は私の問題を詳しく説明するために、私は最後に、VHDLコードと、私は以下の続く一連のコマンドを言及しています。パーミッションは完璧で、実行ビットは設定されています。すべてがうまくいくようですが、それでも動作しません。私もghdlパッケージを再インストールしようとしました。

誰かが問題の原因となる可能性があることを教えてください。

ありがとうございます。

hello.vhdl(VHDLコード)

-- Hello world program.
use std.textio.all; -- Imports the standard textio package.
-- Defines a design entity, without any ports.
entity hello_world is
end hello_world;
architecture behaviour of hello_world is
begin
process
variable l : line;
begin
write (l, String'("Hello world!"));
writeline (output, l);
wait;
end process;
end behaviour;

答えて

2

ファイルシステムはnoexecでマウントされていますか? mountはあなたの作業領域が1つのファイルシステムについて何を言っていますか?

+0

これが完成しました!マウントにはエグゼクティブセットがありませんでした!パーティションにexec権限があるので、ghdlは正常に動作します。ご助力ありがとうございます。 –

+0

今質問は、暗闇の中のショットとして数えられるか、それとも個人的な経験から提供されたのでしょうか?そして少し前向きな考え方で、chmod -x scan_tb; ghdl -r scan_tbは 'ghdl:compilation error'を返します(特に記述的ではありません)。 – user1155120

+0

@DavidKoontz - それはずっと前ですが、暗闇の中でのショットだったと思います(確かに私はGHDLに特有の問題はありませんでしたが、他の実行可能ファイルを、 noexecを搭載したスティックデバイス)。 –

1

実行ビットが設定されていない場合、私は、問題を再現することができます。

権限に問題はありませんか?

+0

はい、これについては確信しています。 "ls -al hello_world"の出力は "-rwxr-xr-x 1 neel neel 447332 2011-01-25 12:16 hello_world" –

0

ちょうど同じ問題があり、私の解決策は777 modを使って/ usr/local/bin/ghdlフォルダをchmodすることでした。

関連する問題