2016-09-06 1 views
-2

実行可能なCファイルをVHDLに変換するにはどうしたらいいですか?私は設定して作成した(./ configure、make)pppソースコードを持っています。これは実行可能なpppファイルを生成します。 vivado HLSを使用してこの実行可能ファイルをVHDLに変換しますか?それでどうすればよいでしょうか?手順を説明してください。VIVADO HLSを使用して、実行可能なCファイルをVHDLに変換することはできますか?

+1

手順では:不可能です。 –

答えて

1

Vivado HLSはCをVHDLに変換できますが、Eugene Shは正しくあります。あなたの質問に対する答えは「いいえ」です。合成用に書かれたCは、通常のプログラム用に書かれたものとはまったく異なります。合成のために、タイミングと入力ポートと出力ポートの概念をC言語に導入する必要があります。 Vivado HLSは、非常に厳格な構造に固執することを要求することによって、そして例えば、プラグマとともに、ツールに多くの情報を渡します。あなたはソフトウェアを合成することを考えるのではなく、むしろVHDLやVerilogの視点から幾分高い抽象レベルで見てください。

関連する問題