VHDLで0〜255の単純なカウンタを実装しました。それはFPGAボード上では期待通りに動作しますが、Modelsimでシミュレートすると、カウンタを変更しても、key(0)
を変更する必要はありません。何かご意見は?信号変更を制御するときにカウンタがインクリメントされない
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY PROC_TEST IS
PORT(
CLOCK_50: IN STD_LOGIC;
KEY: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
LEDR: OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END PROC_TEST;
ARCHITECTURE MAIN OF PROC_TEST IS
SIGNAL COUNTER: INTEGER RANGE 0 TO 255;
BEGIN
LEDR(7 DOWNTO 0)<= STD_LOGIC_VECTOR (TO_UNSIGNED(COUNTER,8));
PROCESS (CLOCK_50)
BEGIN
IF (KEY(0)'EVENT AND KEY(0) = '0')THEN
COUNTER<=COUNTER + 1;
END IF;
END PROCESS;
END MAIN;
私はあなたのコードを美化し、あなたのテキストを改善しました、それは大丈夫だったと思います。ブラウザでページをリロードするか、質問の上にある通知をクリックしてください。 –
ありがとう、私はあなたが行った変更を見ることができませんでした。 – luffyKun