0
ゲーティング・ゲート・アサーション用のマクロを定義しましたが、コンパイル時にendfpertyでendmoduleが必要です。マクロ定義時にendmoduleエラーが発生する
コード:
`define gating_check(_name, _clock, _data, txen) \
property _name ; \
@(posedge `TOP.``_clock``) disable iff (~`STIMULUS.RSTN_VEC_GEN) \
(~(txen) |-> ##[1:6] (|`TOP.``_data`` == 0)); \
endproperty \
``_name``_checker : assert property (_name) else $error("-E- property gating_check failed"); \
``_name``_cover : cover property (_name)
Plsのヘルプ。
コードブロックで整形しましたが、すべてのバッククッキーが意図されているかどうかはわかりません。 – Morgan