2017-09-07 15 views
0

変数がtimeであるとしましょう。時間データ型のための `uvm_field_ *マクロとは何ですか?

class my_class extends uvm_object; 
    time my_time; 
    int my_int; 
    `uvm_object_utils_begin(my_class) 
    `uvm_field_int(my_int, UVM_DEFAULT) 
    // ? for time 
    `uvm_object_utils_end 
endclass 

私たちが知っているように、我々はintデータ型の'uvm_field_intを使用することができます。 timeデータ型の適切なマクロは何ですか?

答えて

1

使用uvm_field_int限りのSystemVerilogに関しては、timeはまだ良いlongint

のためだけの別名であるので、彼らはhorribly inefficientあるとして、すべての任意のフィールドのマクロを使用しないでください。

関連する問題