2017-12-11 12 views
-2

機能検証を行うために、合成後にVerilogネットリストのゲートレベルシミュレーションを実行しています。ただし、シミュレーションの開始時にリセット値が '0'になる必要がある場合、リセット値は 'X'です。図から、入力dsc_reset_b_rが0であることがわかりますが、インバータに接続された後、値は「X」に変更されましたが、これは予期しないものです。同じデザインとテストベンチの場合、RTLシミュレーションは完全にうまく動作します Schematic from Simvision リセットの「X」を引き起こす原因は何ですか? Verilogゲートレベルシミュレーションで予期しない 'X'リセットの値

+1

リセット信号とインバータにVerilogコードを提供する必要があります。さもなければ、あなたのモデルで何が起こっているのかを推測することは不可能です。 – Serge

+0

他に 'dsc_reset_b'を動かすことはできますか? – NickJH

答えて

0

dsc_reset_bを駆動する他のソースはありません。私は偶然、合成スクリプトを変更してこの問題を解決しましたが、どちらのコマンドで問題が発生したのかはわかりません。

関連する問題