yosys

    2

    1答えて

    yosysのトライステートサポートは限られていますが、回避策が考えられます。 次回路: は TBUF2 parsed tree なおOEが0 C = SALIDA1とSALIDA2: module TBUF2 ( inout SALIDA1, inout SALIDA2, input OE, output C); assign SALIDA1=OE ? 1'b0 : 1'bZ; as

    0

    1答えて

    これはCombinatorial synthesis: Better technology mapping resultsの別のフォローアップの質問です。 これは私のYosys TCL制御スクリプトです: yosys -import set libfile osu018_stdcells.lib read_liberty -lib $libfile read_verilog test.v

    0

    1答えて

    に私は abc -g AND,XOR コマンドを使用して、簡単な加算器の設計 # read design read_verilog fulladder1.v hierarchy -check # high-level synthesis proc; opt; fsm; opt; memory; opt # low-level synthesis techmap; opt

    0

    1答えて

    Yosysのコマンドはありますか?gccオプション-MMDに相当する依存ファイルを作成しますか? (Using g++ with -MMD in makefile to automatically generate dependenciesを参照してください) 背景:私はYosysを使ってVerilogプロジェクトを合成するMakefileをビルドしようとしています。このMakefileはコンパイ

    1

    1答えて

    通常の合成前(ビヘイビア)シミュレーションで検証するだけでなく、合成後シミュレーションを使用してVerilogデザインを検証することは良い設計方法です。シミュレーションとハードウェアのミスマッチをデバッグする場合は、これは事実上必須です。 iCE40 FPGA用のオープンソースのIceStormフローでは、これをどのように実現できますか?

    1

    1答えて

    私はYosysの正式な検証機能をVerificarパーサーとともに使用しようとしています。 「read_verilog -formal」コマンドと比較して、正式な検証に有効なyosysのサポートされている機能は何ですか? は、例えば、read_verilogで動作する正式なコードの迅速なコンパイルが構文「プロパティを想定して」の私にエラーを与えた: 「SVAディレクティブは、クロックに敏感ではない

    0

    2答えて

    https://i.imgur.com/NCUjYmr.pngの場合、最初に信号 "reset"は「1」であるとは思われませんでしたか?誰もが仮定が働かない理由を知っていますか?

    2

    1答えて

    Yosysスクリプトにパラメータ(またはコマンドライン引数)を渡す方法はありますか? 私はこの質問で(Can we have variables in a Yosys script?)、YosysスクリプトをTCLインタープリタ内で実行できることを見ています。引数を渡す方法はありますか? 私はこれをやっている理由は、私はスクリプトを持っており、Verilogファイルへのパラメータ化されたパスでスク

    0

    1答えて

    :私は警告を無視(または変更した場合は $ yosys -q -p "synth_ice40 -blif rom.blif" rom.v Warning: Blocking assignment to memory in line rom.v:9 is handled like a non-blocking assignment. Warning: Blocking assignment to