2つのスレッドを使用して2つの数値を追加したいが、どこが間違っているのか分からなかった。 私の出力は間違っています。私は問題が同期されていますが、解決できないことを知っています。 import java.io.*;
class GFG {
public static void main (String[] args) throws InterruptedException {
fi
ランダムに生成された2つの数値を加算するのに問題があります。ユーザは生成された2つの乱数を見なければならず、コンピュータはそれらを一緒に追加してそれを印刷しなければならない。誰かが間違っていることを私に見せることができますか? import random
import time
roll_again = "yes"
while roll_again == "yes" or roll_ag
シンプルなパスワードジェネレータを作成しようとしていますが、私の機能は私の意図する結果や結果を与えてくれません。私。追加して空のリストを展開しないでください。私は何かを変えるべきですか? import random
def passstrength():
return input("Please state how strong you have the password to be
次のコードは、2つの数値をbcdで加算するためのコードです。いくつかの値を入力するたびに、最も重要な4ビットの出力が不正確(X)になります。私はこれでどこが間違っているのか分かりません。 library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity bcd_adder1 is
port(a,b