私は数日前に初心者のためのVHDLコースから始めました。VHDLの説明
私はコード(下)を持っていますが、私はそれがどのような回路を示し、どのように異なるステップが機能しているのかを理解しようとしています。 私は今インターネットを見てきましたが、実際に何ができるのか分かりません。だから私はこれで今誰かが私に説明を与えるかもしれないと思った? :-)
私はそれがバッファを持つ "加算器"のタイプだとは思いますがわからないのですか?バッファは2ビット(Cs-1 downto 0)で動作していますが、Csの意味はわかりません。実際、このコードには多くのことがありますが、わかりません。
私が言葉でコードを理解するのを助けるのに少し時間がかかると本当に感謝します。
entity asc is
generic (CS : integer := 8)
port (k, ars, srs, e, u: in std_logic;
r: buffer std_logic_vector(Cs-1 downto 0));
end asc;
architecture arch of asc is
begin
p1: process (ars, k) begin
if ars = ‘1’ then
r <= (others => ‘0’);
elsif (k’event and k=’1’) then
if srs=’1’ then
r <= (others) => ‘0’);
elsif (e = ‘1’ and u = ‘1’) then
r <= r + 1;
elsif (e = ‘1’ and u = ‘0’) then
r <= r - 1;
else
r <= r;
end if;
end if;
end process;
end arch;
正しくインデントできますか?インデントの補助なしで読むのは難しいです。 –