-1
Verilogクラスの割り当てを完了するためにCadenceプログラムスイートを使用する必要があります。私が作成した非常に単純な模擬テストベンチの$display
文がなぜそうでないのか知りたいのですがSimVisionコンソールウィンドウで出力を生成します。
私のワークフローは、このように書き: 私は、次の機能図を用いて細胞を作成しました:
module tesbench ();
initial begin
$display("RUNNING TESTBENCH");
$finish;
end
endmodule
私は初期化され、ネットリストとSimVisionコンソールを開き セルをシミュレートし、NC-Verilogのが、次に呼び出されています窓。その後、シミュレーションを実行すると、次の出力が生成されます
ncsim> run
Simulation complete via $finish(1) at time 0 FS + 0
/home/path/to/verilog/file.v:4 $finish;
ncsim>
ので$display
出力が示されていません。これは非常に単純な問題のようですが、私の人生は私が間違っていることを理解できません。
$ displayと$ functionが同時に(0)に発生するため、競合状態になっている可能性があります。 $ displayの後に '#1;'のような遅れを追加する – toolic
それは解決できませんでした。私はVerilogとCadenceの両方に新しいので、これがコードの問題であるかどうかわかりません。プログラムスイートを正しく使用していない。 – Peter
SimVisionではこれが「私のために働く」です。あなたはどのバージョンを使用していますか?どのようにツールを呼びますか? –